UVM:field automation机制

(1)field automation机制相关的宏

        最简单的uvm_field系列宏有如下几 种:

`define uvm_field_int(ARG,FLAG)
`define uvm_field_real(ARG,FLAG)
`define uvm_field_enum(T,ARG,FLAG)
`define uvm_field_object(ARG,FLAG)
`define uvm_field_event(ARG,FLAG)
`define uvm_field_string(ARG,FLAG)

        上述几个宏分别用于要注册的字段是整数、实数、枚举类型、直接或间接派生自uvm_object的类型、事件及字符串类型。这 里除了枚举类型外,都是两个参数。对于枚举类型来说,需要有三个参数。假如有枚举类型tb_bool_e,同时有变量tb_flag,那么 在使用field automation机制时应该使用如下方式实现:

typedef enum {TB_TRUE, TB_FALSE} tb_bool_e;
…
tb_bool_e tb_flag;
…
`uvm_field_enum(tb_bool_e, tb_flag, UVM_ALL_ON)

(1.1) 与动态数组有关的uvm_field系列宏有:

`define uvm_field_array_enum(ARG,FLAG)
`define uvm_field_array_int(ARG,FLAG)
`define uvm_field_array_object(ARG,FLAG)
`define uvm_field_array_string(ARG,FLAG)

        这里只有4种,相比于前面的uvm_field系列宏少了event类型和real类型。另外一个重要的变化是enum类型的数组里也只有两个 参数。

(1.2)与静态数组相关的uvm_field系列宏有:

`define uvm_field_sarray_int(ARG,FLAG)
`define uvm_field_sarray_enum(ARG,FLAG)
`define uvm_field_sarray_object(ARG,FLAG)
`define uvm_field_sarray_string(ARG,FLAG)

(1.3)与队列相关的uvm_field系列宏有:

`define uvm_field_queue_enum(ARG,FLAG)
`define uvm_field_queue_int(ARG,FLAG)
`define uvm_field_queue_object(ARG,FLAG)
`define uvm_field_queue_string(ARG,FLAG)

        同样的,这里也是4种,且对于enum类型来说,也只需要两个参数。

(1.4)与联合 数组相关的uvm_field宏

        联合数组是SystemVerilog中定义的一种非常有用的数据类型,在验证平台中经常使用。UVM对其提供了良好的支持,与联合 数组相关的uvm_field宏有:

`define uvm_field_aa_int_string(ARG, FLAG)
`define uvm_field_aa_string_string(ARG, FLAG)
`define uvm_field_aa_object_string(ARG, FLAG)
`define uvm_field_aa_int_int(ARG, FLAG)
`define uvm_field_aa_int_int_unsigned(ARG, FLAG)
`define uvm_field_aa_int_integer(ARG, FLAG)
`define uvm_field_aa_int_integer_unsigned(ARG, FLAG)
`define uvm_field_aa_int_byte(ARG, FLAG)
`define uvm_field_aa_int_byte_unsigned(ARG, FLAG)
`define uvm_field_aa_int_shortint(ARG, FLAG)
`define uvm_field_aa_int_shortint_unsigned(ARG, FLAG)
`define uvm_field_aa_int_longint(ARG, FLAG)
`define uvm_field_aa_int_longint_unsigned(ARG, FLAG)
`define uvm_field_aa_string_int(ARG, FLAG)
`define uvm_field_aa_object_int(ARG, FLAG)

        这里一共出现了15种。联合数组有两大识别标志,一是索引的类型,二是存储数据的类型。在这一系列uvm_field系列宏中, 出现的第一个类型是存储数据类型,第二个类型是索引类型,如uvm_field_aa_int_string用于声明那些存储的数据是int,而其索引 是string类型的联合数组。

(2)field automation机制的常用函数

        field automation功能非常强大,它主要提供了如下函数。

(2.1)  copy函数

        copy函数用于实例的复制,其原型为:

extern function void copy (uvm_object rhs);

        如果要把某个A实例复制到B实例中,那么应该使用B.copy(A)。在使用此函数前,B实例必须已经使用new函数分配好了内 存空间。

(2.2) compare函数

        compare函数用于比较两个实例是否一样,其原型为:

extern function bit compare (uvm_object rhs, uvm_comparer comparer=null);

        如果要比较A与B是否一样,可以使用A.compare(B),也可以使用B.compare(A)。当两者一致时,返回1;否则为0。

(2.3)pack_bytes函数

        pack_bytes函数用于将所有的字段打包成byte流,其原型为:

extern function int pack_bytes (ref byte unsigned bytestream[],
input uvm_packer packer=null);

(2.4)unpack_bytes函数

        unpack_bytes函数用于将一个byte流逐一恢复到某个类的实例中,其原型为:

extern function int unpack_bytes (ref byte unsigned bytestream[],
input uvm_packer packer=null);

(2.5)pack函数

        pack函数用于将所有的字段打包成bit流,其原型为:

extern function int pack (ref bit bitstream[],
input uvm_packer packer=null);

        pack函数的使用与pack_bytes类似。

(2.6)unpack函数

        unpack函数用于将一个bit流逐一恢复到某个类的实例中,其原型为:

extern function int unpack (ref bit bitstream[],
input uvm_packer packer=null);

        unpack的使用与unpack_bytes类似。

(2.9)pack_ints函数

        pack_ints函数用于将所有的字段打包成int(4个byte,或者dword)流,其原型为:

extern function int pack_ints (ref int unsigned intstream[],
input uvm_packer packer=null);

(2.10)unpack_ints函数

        unpack_ints函数用于将一个int流逐一恢复到某个类的实例中,其原型为:

extern function int unpack_ints (ref int unsigned intstream[],
input uvm_packer packer=null);

(2.11)print函数

        print函数用于打印所有的字段

(2.12)clone函数

extern virtual function uvm_object clone ();

        除了上述函数之外,field automation机制还提供自动得到使用config_db::set设置的参数的功能。

(3)field automation机制中标志位的使用

        给DUT施加一种CRC错误的异常激励。实现这个功能的一种方法是在my_transaction中添加一个 crc_err的标志位:
 

  • 17
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值