PREFACE FPGA经典案例序言

        本系列博客为笔者在本科学习阶段的课程设计 或则 自学习的一些经典案例。 笔者在本科学习阶段很喜欢把自己做过的设计通过文档的形式记录下来,同时也把相关的学习资料都放在一起,形成一个系统学习该案例的资料集合,每次上交作业时,笔者会把能想到的设计思路和设计结果都写在课程设计的作业里,所以每次课程设计都能得到一个比较优秀的分数。每次虽然花掉很多时间,但是自己觉得这样是值得的,毕竟学有所获。这些资料已经在笔者的笔记本电脑里躺了好长时间了,本次笔者打算把这些课程设计的文档通过博客开源出来,祝有缘人学有所成。

        本系列博客入驻的经典案例题目如下:

           点击传送门:  CASE_01 基于FPGA的交通灯控制器

           点击传送门:  CASE_02 基于FPGA的数字钟万年历

           点击传送门:  CASE_03 基于FPGA的等精度数字频率计

           点击传送门:  CASE_04 基于FPGA的电梯控制器

           点击传送门:  CASE_05 基于FPGA的DDS信号发生器

           (5篇经典案例已完结)

         共计5个经典案例,欢迎阅读学习,文章水平及代码水平仅限笔者本科阶段,请大家见谅。

        每篇博客也同时提供笔者整理的案例资料合集,供大家下载学习。--注:资料仅供下载学习,不得用于其他用途。

        资料包内容含如下内容:

文件夹名

功能描述

备注

bom

元器件清单

module_test

模块级的仿真工程(可能采用不同的仿真形式和仿真工具,具体以对应的博客为准)

需要安装Quartuse II 13.1

system_test

系统级的仿真工程(可能采用不同的仿真形式和仿真工具,具体以对应的文档为准)

需要安装Quartuse II 13.1

formal_code

程序工程的实物运行版本版本,用于下载到板卡上运行的(与仿真版本只有参数上的差异,并且生成了配置文件)

需要安装Quartuse II 13.1

sch&pcb

原理图和PCB设计图

打开文档需要安装Adobe Acrobat

video

产品设计讲解课程,与document的文件使用方法相同,也需要用你的机器码来获取播放密码。并且需要“比特电子视频专用播放器”打开,否则会报文件损坏错误。

使用“比特电子视频专用播放器” 去打开视频

软件提取网址

工作室提供了文件使用所需要的软件下载地址和对应的软件安装使用教程提取网址

        每篇博客学习资料合集如下,可点击下载,不过强烈建议先看博客,每篇博客后面也付了资源传送门如下:(有些资料合集较大,分成成了两卷,两卷下载后放在同一目录下才能解压)

        1. 基于FPGA的交通灯控制器 Traffic_light_Verilog.rar

        2.基于FPGA的交通灯控制器 Traffic_light_VHDL.rar

        3.基于FPGA的数字钟万年历 第一部分:Clock_Verilog.part1.rar         

           基于FPGA的数字钟万年历 第二部分:Clock_Verilog.part2.rar

       4.基于FPGA的等精度数字频率计 Frequency_indicator_Verilog.rar

       5.基于FPGA的等精度数字频率计 Frequency_indicator_VHDL.rar

       6.基于FPGA的电梯控制器 第一卷 :Elevator_contorller_Verilog.part1.rar

          基于FPGA的电梯控制器 第二卷 :Elevator_contorller_Verilog.part2.rar

       7.基于FPGA的DDS信号发生器 : DDS_Verilog.rar

     ----------------------------- 点击如上传送门可快速链接资源包------------------------------

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

比特FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值