VHDL实现3-8译码器部分功能以及仿真案例

VHDL实现3-8译码器部分功能以及仿真案例(74HC138,A0-A2,E0-E2,Y0-Y7)

说在前面

该项目为博主数电上机作业,使用ISE14.7编写的VHDL Module以及VHDL Test Bench。感觉现在网上关于vhdl代码的案例较少(或者说供初学者模仿参考入门的资料比较少?),分享给有缘人供学习参考。仅模拟实现由:二进制编码A0-A2、使能端E0-E2不同输入下,输出端Y0-Y7的输出情况。变量按作业规则命名,并无特定规范。

Module部分

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vm3 is
Port ( zc_A : in STD_LOGIC_VECTOR (2 downto 0);
zc_E : in STD_LOGIC_VECTOR (3 downto 1);
zc_Y : out STD_LOGIC_VECTOR (7 downto 0);
OUTisnotenable : out STD_LOGIC);
end vm3;

architecture Behavioral of vm3 is
signal isnotenable:STD_LOGIC; --signal
begin
isnotenable <= zc_E(1) or zc_E(2) or (not zc_E(3));
OUTisnotenable <= isnotenable;
zc_Y <= “11111110” when (zc_A=“000” and isnotenable 

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值