FPGA开发(九)----------按键消抖实验

        本次实验我们主要完成按键消抖,并统计按下的次数,通过数码管进行显示。我们在上一个数码管计数器的工作上实现按键消抖之后,将1hz信号改为消抖模块的输出即可。

        首先我们分析一下按键抖动,按键消抖通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,按键抖动会引起一次按键被误读多次。为了不产生这种现象而作的措施就是按键消抖。抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。相应的曲线图如下

                                                                    

        可以看到当抖动10ms之后按键值会趋于稳定,因此我们的思路为如果本身高电平的按键,出现的持续20ms以上的低电平,那么说明此时的按键被按下,即可实现按键消抖的作用。

        可以得到按键消抖模块的输入为  按下的按键 输出为是按键是否按下,相应的我们实现以下代码

/*
	Author:Alawyssun
	Time:2020/03/26
	实现功能:按键消抖,通过检测有连续20ms以上的低电平实现
*/

module key_scan
(
	input clk,
	input rst,
	input key1,
	output reg button_out_reg
);
parameter N = 32 ; 
parameter FREQ = 50;  //时钟频率50MHz  50_000_000
parameter MAX_TIME = 20; //按键延时最大时间 20ms
localparam TIMER_MAX_VAL =   MAX_TIME * 1000 * FREQ;

reg count_flag;
reg[31:0] count_cnt;
always@(posedge clk)
begin
	if(rst==1'b0)
	begin
		button_out_reg<=0;
	end
	else
	begin
		if(key1==1'b0)
		begin
			if(count_flag==0)
			begin
				count_flag<=1;
			end
			else 
			begin
				if(count_cnt==TIMER_MAX_VAL)
				begin
					button_out_reg<=1;
				end
				else if(count_cnt>=TIMER_MAX_VAL+32'd1)
				begin
					button_out_reg<=0;
				end
			end
		end
		else
		begin
			count_flag<=0;
		end
	end
end


always@(posedge clk)
begin
	if(count_flag==1)
	begin
		count_cnt<=count_cnt+32'd1;
	end
	else if(count_flag==0)
	begin
		count_cnt<=32'd0;
	end
end
endmodule

              同时将主模块中的代码修改为以按键输出为输入频率信号即可。代码如下

/*
	Author:Alawyssun
	Time:2020/03/26
	实现功能:利用模块化的方法,实现六个数码管显示一个数字的功能
	该数字在按键消抖后的作用下,自动加一  同时将数字之前的0消去
*/
`timescale 1n/1ps
module seg_test(
	input clk,
	input rst,
	input key0,
	output [5:0] seg_pi,//片选
	output [7:0] seg_data//数据位
);
reg[31:0] time_cnt;
reg one_hz;

parameter CLK_FREQ = 50_000_000; //时钟频率
parameter CNT_SECOND = 1;     //计数器加一需要的时间 比如1秒 就写10  0.5秒就写5
parameter CNT_NUMBER = CNT_SECOND*CLK_FREQ;     //计数器加一需要的时间


/*
always@(posedge clk or negedge rst)
begin
	if(rst==1'b0)
	begin
		time_cnt<=32'd0;
	end
	else 
	begin
		if(time_cnt==32'd49_999_999)
		begin
			time_cnt<=32'd0;
			one_hz<=1'b1;
		end
		else
		begin
			time_cnt<=time_cnt+32'd1;	
			one_hz<=1'b0;
		end
	end
end
*/
key_scan key_scan_my(
	.clk (clk),
	.rst (rst),
	.key1 (key0),
	.button_out_reg(one_hz)
);



wire[3:0] count0;
wire t0;
wire[7:0] seg_data_0;
wire zero_my0,zero_my1,zero_my2,zero_my3,zero_my4,zero_my5;
num_cnt num_cnt0_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (one_hz),
	 .zero_en1 (zero_my1),
	 .zero_en2 (zero_my0),
	 .pulse      (t0),
    .data   (count0)    
 );
num_show num_show0_my(
	.data (count0),
	.zero_en(1),//第一个数码管的0  无论如何需要显示
	.seg_data (seg_data_0)
);
 
wire[3:0] count1;
wire t1;
wire[7:0] seg_data_1;
num_cnt num_cnt1_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (t0),
	 .zero_en1 (zero_my2),
	 .zero_en2 (zero_my1),
	 .pulse      (t1),
    .data   (count1)    
 );
num_show num_show1_my(
	.data (count1),
	.zero_en(zero_my1),
	.seg_data (seg_data_1)
);
 
wire[3:0] count2;
wire[7:0] seg_data_2;
wire t2;
num_cnt num_cnt2_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (t1),
	 .zero_en1 (zero_my3),
	 .zero_en2 (zero_my2),
	 .pulse      (t2),
    .data   (count2)    
 );
num_show num_show2_my(
	.data (count2),
	.zero_en(zero_my2),
	.seg_data (seg_data_2)
);
 
wire[3:0] count3;
wire[7:0] seg_data_3;
wire t3;
num_cnt num_cnt3_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (t2),
	 .zero_en1 (zero_my4),
	 .zero_en2 (zero_my3),
	 .pulse      (t3),
    .data   (count3)    
 );
num_show num_show3_my(
	.data (count3),
	.zero_en(zero_my3),
	.seg_data (seg_data_3)
);
 
wire[7:0] seg_data_4;
wire[3:0] count4;
wire t4;
num_cnt num_cnt4_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (t3),
	 .zero_en1 (zero_my5),
	 .zero_en2 (zero_my4),
	 .pulse      (t4),
    .data   (count4)    
 );
num_show num_show4_my(
	.data (count4),
	.zero_en(zero_my4),
	.seg_data (seg_data_4)
);
 
wire[7:0] seg_data_5;
wire[3:0] count5;
wire t5;
num_cnt num_cnt5_my(
	 .rst  (rst),
    .clk    (clk),
    .en     (t4),
	 .zero_en1 (0),
	 .zero_en2 (zero_my5),
	 .pulse      (t5),
    .data   (count5)    
 );
num_show num_show5_my(
	.data (count5),
	.zero_en(zero_my5),
	.seg_data (seg_data_5)
);

num_scan num_scan_my(
	.clk (clk),
	.rst (rst),
	.seg_data_scan_0 (seg_data_0),
	.seg_data_scan_1 (seg_data_1),
	.seg_data_scan_2 (seg_data_2),
	.seg_data_scan_3 (seg_data_3),
	.seg_data_scan_4 (seg_data_4),
	.seg_data_scan_5 (seg_data_5),
	.seg_data_scan (seg_data),
	.seg_sel (seg_pi)
);
endmodule

     本实验的最终运行效果如下:可以看到按下一次按键,数码管加一。

        我们将延时时间改成1ms,可以看到按下一次按键数码管会一次增加好多数 ,可见我们本次的实验实现了按键的消抖。

        本次实验的工程文件下载地址:https://download.csdn.net/download/qq_34020487/12271838

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值