Aurora 8b/10b 协议和IP核设置

8B/10B编码

8B/10B编码是1983年由IBM公司的Al Widmer和PeterFranaszek所提出的数据传输编码标准,目前已经被广泛应用到高速串行总线,如IEEE1394b、SATA、PCI-Express、Infini-band、FiberChannel、XAUI、RapidIO、USB 3.0的美好。8B/10B编码将待发送的8位数据转换成10位代码组,其目的是保证直流平衡,以及足够密集的电平转换。

用途

功能框图

光纤通信的物理层介绍

(这部分一般是光模块)

Aurora 8b 10b发送过程

GTX 物理结构

用户接口

IP 核用户接口一共有两种,选择自己合适的接口就可以了。

IP 核配置

字节数为4 Byte 32位

参考频率:125M

用户接口:帧模式

流控:不使用

复位接口的思考

在复位的时候,要先保证gtreset为高电平,然后按照顺序进行复位。

但是我自己在测试的时候,发现不需要按照顺序,只要一直给0。也可以进行数据的收发。

还是按照官方手册去写上电复位顺序

ILA调试结果

  • 1
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值