Questasim 跑自动化脚本使用教程

本文介绍了如何通过sim.do和.bat文件实现日常工程的自动化,包括删除工作目录、设置UVM环境变量、编译与仿真VHDL文件、合并覆盖率和添加波形,以便一键运行测试。
摘要由CSDN通过智能技术生成

自动化脚本使用

1.日常工程的自动化脚本程序,一共需要两个文件.do和.文件bat

sim.do文件如下

#删除work工作目录
file delete -force work

#设置uvm环境变量,指定uvm的dpi位置
set  UVM_HOME	C:/questasim64/verilog_src/uvm-1.1d
set  UVM_DPI_HOME   C:/questasim64/uvm-1.1d/win64

#创建work工作目录,存放仿真数据文件
vlib work 
vmap work work

#vlog表示编译 *.sv表示do文件同级路径下所有.sv文件 -L表示添加库文件
vlog +incdir+$UVM_HOME/src\
	-L mtiAvm\
	-L mtiOvm\
	-L mtiUvm\
	-L mtiUPF\
	-timescale=1ns/1ps\
	./testbench/*.sv \
    ./testbench/*.v	

#执行仿真
#选择仿真的tb文件
vsim -novopt work.top_sim_tb
#合并覆盖率
#vcover merge -out merged.ucdb ./test_covdb

#添加波形,top_sim_tb文件例化的top_inst 实例,将top的所有信号添加到波形中
#add wave top/dif/*
add wave -position insertpoint  \
sim:/top_sim_tb/top_inst/* 



#运行仿真
run 20us

.bat文件内容如下:

vsim -do sim.do

2.测试文件目录如下:

3.双击run.bat ,可以自动化跑起来

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Questasim 是 Mentor Graphics 公司开发的一款高性能 HDL 仿真器,适用于 Verilog、SystemVerilog 和 VHDL 等硬件描述语言的仿真和验证。以下是 Questasim使用教程: 1. 安装 Questasim 软件 首先需要在 Mentor Graphics 官网注册并下载 Questasim 软件,然后按照安装向导进行安装。安装完成后,需要设置 Questasim 的环境变量,包括 QUARTUS_ROOTDIR 和 QUESTASIM_ROOTDIR。 2. 创建仿真工程 在 Questasim 中创建仿真工程需要先创建一个工作目录,然后在该目录下创建一个新的工程,可以使用以下命令: ``` vlib work vmap work work ``` 其中,vlib 命令用于创建工作库,vmap 命令用于将工作库映射到一个名为 work 的别名。 3. 添加仿真文件 将需要仿真的 Verilog、SystemVerilog 或 VHDL 文件添加到工程中,可以使用以下命令: ``` vlog -work work <file_name> ``` 其中,vlog 命令用于编译 Verilog、SystemVerilog 或 VHDL 文件,并将编译结果添加到工作库中。 4. 设置仿真参数 在进行仿真前需要设置仿真参数,包括仿真时间、仿真精度、仿真时钟等。可以使用以下命令设置仿真时间: ``` vsim -t <sim_time> -L <library_name> -L <library_name> <testbench> ``` 其中,-t 参数用于设置仿真时间,-L 参数用于添加库文件,<testbench> 是仿真的顶层模块。 5. 运行仿真 在设置好仿真参数后,可以运行仿真,可以使用以下命令: ``` run -all ``` 该命令会一直运行仿真,直到仿真结束或者手动停止。 以上就是使用 Questasim 进行仿真的基本步骤,需要根据具体的需求进行调整和优化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值