MAX7219段码液晶驱动程序(四-七)

MAX7219

MAX7219.h

段码控制芯片驱动头文件。

#ifndef __MAX7219_H
#define __MAX7219_H

#include "reg52.h"
#include "delay.h"
#include "intrins.h"

//---重定义关键词---//
#ifndef u8
#define u8 unsigned char
#endif
#ifndef u16 
#define u16 unsigned int
#endif
#ifndef uchar
#define uchar unsigned char
#endif
#ifndef uint 
#define uint unsigned int
#endif

//此位定义是定义MAX7219引脚
sbit MAX7219_DIN  = P2^0;
sbit MAX7219_LOAD = P2^2;
sbit MAX7219_CLK  = P2^1;

void MAX7219_Init(void);	//初始化MAX7219
void MAX7219_Clear(
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值