AD9361_FPGA的PL端纯逻辑(verilog)配置AD9361(五)_实现QPSK信号的数字调制

本博客的工程源码文件下载:百度网盘  (点击蓝色“百度网盘”文字即可下载)提取码:mww7

1.工程组成

在前面博客中利用AD9361实现模拟调制FM的调制和解调,并进行了测试。本次博客利用AD9361实现QPSK正交调制,整个工程包括AD9361接口程序、配置程序和QPSK调制程序,工程结构如下图所示:

2.AD9361实现QPSK正交调制

QPSK、8PSK、QAM、MSK等数字调制均采用正交调制方法实现,首先正确设置9361的寄存器,包括采样率,带宽,差分模式、射频频率等参数,利用我的转换软件将设置文件转换成ad9361_lut.v函数,实现9361的PL端纯逻辑配置。然后根据下图的调制图,分别编写串并转换模块、QPSK码元映射模块,上采样模块、升余弦模块,最后将升余弦模块IQ两路信号赋值AD9361接口函数的发送通道接口。

3.QPSK工程测试

利用ila查看升余弦函数的输出,如下图

根据ila结果可以看出9361发送通道的接口数据符合QPSK升余弦信号特征。

通过示波器、频谱仪、逻辑信号分析仪查看时域波形、频谱特征以及星座图。

频谱仪的QPSk频谱 

QPSk频域

示波器的QPSK时域波形

QPSK时域

通过频谱仪和示波器可以看出符合QPSK信号特征,最后利用逻辑信号分析仪对QPSK信号查看星座图。本博客码元速率设置1MHZ。

通过分析仪可以看出,星座图符合QPSK信号特征,相位稳定,说明信号调整正确,整个工程的9361接口和配置函数工作正常。

4.总结

本博客在前面博客(如下)基础上,进一步验证了我的转换软件和AD9361接口程序正确性,同时讲解了怎么应用AD9361进行QPSK调制解调,下一步验证讲解如何利用AD9361实现数字调制信号QPSK的解调,敬请期待!!

5.参考博客文章

ZedBoard+AD9361_FPGA的PL端纯逻辑(verilog)配置控制9361(一)_初始化寄存器脚本文件生成_fpga控制ad9361-CSDN博客

ZedBoard+AD9361_FPGA的PL端纯逻辑(verilog)配置控制9361(二)_将寄存器脚本文件转换成Verilog函数_ad9361 .cfg转.v-CSDN博客

ZedBoard+AD9361_FPGA的PL端纯逻辑(verilog)配置控制9361(三)_建立完整工程,编写配置寄存器的状态机文件、SPI文件、9361收发接口文件并测试效果_ad9361工程-CSDN博客

  • 17
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值