ZedBoard+AD9361_FPGA的PL端纯逻辑(verilog)配置控制9361(三)_建立完整工程,编写配置寄存器的状态机文件、SPI文件、9361收发接口文件并测试效果

建立工程,主要文件有4个,配置函数,接口文件、寄存器读写状态机文件,SPI文件。

工程文件下载:百度网盘  提取码:mww7

一、编写状态机文件

根据前面生成的ad9361_lut.v文件,分成写状态、读状态、延时状态,反复循环,直到最后配置完所有寄存器之后使状态机处在一个固定状态,并给出配置结束的标志信号。时钟建议20MHz和SPI读写时钟一致。

 二、编写SPI读写文件

根据状态机状态,选择对相应寄存器的读写操作。根据状态机状态给出读写指示,并给出相应的寄存器地址和所需写入或读取的值。

 三、接口文件

基本参考ADI官方的接口文件,稍作修改,可以直接设置adc_r1_mode和dac_r1_mode配置单通道或者双通道读写。

四、测试结果 

上述文件都编写结束,根据寄存器配置参数,AD9361应处在FDD工作状态,tx1和rx1单通道收发,收的频率2.4GHz,发的频率1.2GHz,工程编译完之后,加载到电路板,利用示波器测试clk_out引脚查看时钟是否配置正确,利用频谱仪测试输出信号是否为1.2GHz频率。

为了方便测试,使tx1通道输出单载波信号,直接向接口赋值1即可,如下:

示波器和频谱仪的测试结果,从测试结果看,时钟配置正确,输出信号频率1.2GHz。到这AD9361已经正常工作了。

并且通过ila查看了每个读寄存器的值,均符合要求。 

  • 11
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值