quartus 中直接引用的延时模块(Modelsim已验证)

quartus 中直接引用的延时模块(Modelsim已验证)

软件:Quartus,Modelsim
语言:Verilog

引言

在设计时序时,我们经常要用到延时模块,即将某个信号进行延迟N个clk处理。在Quarus中有可以直接引用的原语模块,按照格式直接调用即可,方便快捷,可以缩短工程的开发周期。

1、模块调用格式
lpm_shiftreg LPM_SHIFTREG_component (
                .clock (clk),
                .shiftin (data_in),
                .shiftout (data_out )
                );
defparam    LPM_SHIFTREG_component.lpm_direction = "LEFT";
defparam    LPM_SHIFTREG_component.lpm_type = "LPM_SHIFTREG";
defparam    LPM_SHIFTREG_component.lpm_width = 9; 

如上 输入data_in,输出data_out, 输出比输入延迟了 9-1=8 个clk。延迟clk的个数可以修改LPM_SHIFTREG_component.lpm_width的参数。"LEFT"指的是左移。

此模块适用单bit的信号输出,即data_in的位宽为1bit,多bit的话需要拆分成单bit使用此模块,或者重新写一个多bit的延迟模块。

2、仿真验证

写一个简单的testbench ,来验证 如上调用模块的可行性。

lpm_shiftreg LPM_SHIFTREG_component (
				.clock (clock),
				.shiftin (shiftin),
				.shiftout (qqout)
				);
defparam	LPM_SHIFTREG_component.lpm_direction = "LEFT";
defparam	LPM_SHIFTREG_component.lpm_type = "LPM_SHIFTREG";
defparam	LPM_SHIFTREG_component.lpm_width = 9;	

initial begin
   #0 clock  = 1;shiftin = 0;
   #1000 shiftin = 1;
   #2000 shiftin = 0;
   #1000
   $stop ; 
end

仿真接口波形如下:
图1
可以看到两个箭头之间的延迟是8个clock;
即:输出比输出延迟8个clk。

欢迎 评论、留言、交流指正。

  • 5
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吾引先森

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值