用QuartusII 操作操作1位全加法器单位延时仿真

1.打开Quartus two,新建一个工程,选择位置在一个文件夹中,输入工程名
在这里插入图片描述
2.点击下一步,直到下图,选择Modelsim
在这里插入图片描述

3点击新建文件,.选择Verilog HDL File,点击确定。如图
在这里插入图片描述
4.输入代码,并运行差错,代码如下
module Add_full_unit_delay(output c_out,sum,input a,b,c_in);
wire w1,w2,w3;
Add_half_unit_delay M1(w2,w1,a,b);
Add_half_unit_delay M2(w3,sum,w1,c_in);
or #1 M3(c_out,w2,w3);
endmodule
module Add_half_unit_delay (output c_out,sum,input a,b);
xor #1 M1(sum,a,b);
and #1 M2(c_out,a,b);
endmodule

5.代码运行成功后,再次点击文件,选择new,在弹出的对话框中选择University Program VWF,如图
在这里插入图片描述
6.进入到下一个界面后,双击左键,弹出一个窗口,选择Node Fader,再点击List,将左边的内容添加到右边
在这里插入图片描述
在这里插入图片描述
7.点击箭头所指的左边,就会出现波形图,分别把ab,输入输出赋予波形图
在这里插入图片描述
8.如图点击箭头所指的地方,就会弹出如下的对话框,点击Yes
在这里插入图片描述
9.保存该工程,如图
在这里插入图片描述
10.弹出这个小对话框就算仿真结束,并且Sum的波形图也得出
在这里插入图片描述
11.实验截图
在这里插入图片描述
12.实验视频
链接:https://www.bilibili.com/video/BV18U4y1G7Nh?share_source=copy_web

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值