force语句的使用

本文介绍了在FPGA开发过程中,如何使用force语句强制内部信号赋值。重点强调了无论信号类型是reg还是wire,force语句都将其视为reg,并且可以直接对内部变量赋值。正确做法是先初始化本地变量,再进行赋值操作。
摘要由CSDN通过智能技术生成

笔者在一次仿真工程中,想通过force语句强制使内部的某个信号赋值,将仿真遇到的一些问题总结如下:

  1. 不管内部信号是reg还是wire,在force赋值时都是reg。
reg            reco_coor_vld 	;//zuobiao
reg            reco_frm_vld	   ;//ID
reg            stim_conf_vld	  ;//moniyuan 
reg            start_send_vld	;//send 
reg  [31:0]    suart_data		  ;//data 
reg            init_done      ;
  1. 对内部变量直接赋值也是可以的。
initial begin
	force top_uut.suart_data	=32'h00010001;
	force top_uut.stim_conf_vld	=1'b1;
end 

3.正确的操作方法是:
先初始化本地变量与赋值变量(虽然他们的名字一般定义为一样),然后进行赋值。

initial 	begin
		clk_40M	    
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值