Verilog HDL中force and release语句

module add(a,b,c);

       input   [1:0] a;
       input   [1:0] b;
       output [2:0] c;

       assign c = a  + b;
endmodule

 

module test();

       reg  [1:0] a1,b1;
       wire [2:0] c1;

       add u_add(.a(a1),.b(b1),.c(c1));

       initial   begin
                  a1 = 2'b1;
                  b1 = 2'b1;
  
         #20  force u_add.a = 2'd2;
         #10  release u_add.a ;
       end

end

当在20ns的时候,由于#20 force u_add.a = 2'd2;所以add内部的a变成了2'd2,但是当再过10ns的时候
由于#10 release u_add.a ;进行释放,所以test中的a1连接到add的a端重新生效,add中的a重新变成了1。

initial  begin

    #1 force a = 1;    //a =1

    #2 release a;  

    #1 assign a = 2;  //a =2

    #1 force a = 3;    // a =3

    #2 release a;       //a = 2 ,因为之前assign a = 2,相当于将2硬链接到a上,release的时候,硬链接开始有效。

转载文章地址:https://www.eefocus.com/xiaxing_fpga/blog/11-08/230022_2631f.html

end

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值