自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(57)
  • 收藏
  • 关注

原创 从零开始搭建UVM平台(十一)-加入field automation机制

从零开始搭建UVM平台(十一)-加入field automation机制

2024-10-10 07:30:00 198

原创 从零开始搭建UVM平台(十)-加入scoreboard

从零开始搭建UVM平台(十)-加入scoreboard

2024-10-09 20:55:11 230

原创 sv标准研读第十三章-task和function

sv标准研读第十三章-task和function

2024-10-08 07:30:00 698

原创 sv标准研读第十二章-过程性编程语句

sv标准研读第十二章-过程性编程语句

2024-10-07 08:00:00 1263

原创 sv标准研读第十一章-操作符和表达式

sv标准研读第十一章-操作符和表达式

2024-10-06 08:30:00 674

原创 sv标准研读第十章-赋值语句

sv标准研读第十章-赋值语句

2024-10-05 16:55:34 870

原创 从零开始搭建UVM平台(九)-加入reference model

从零开始搭建UVM平台(九)-加入reference model

2024-10-04 20:45:51 440

原创 从零开始搭建UVM平台(八)-加入agent

从零开始搭建UVM平台(八)-加入agent

2024-10-03 08:30:00 146

原创 从零开始搭建UVM平台(七)-加入monitor

从零开始搭建UVM平台(七)-加入monitor

2024-10-02 08:00:00 324

原创 从零开始搭建UVM平台(六)-加入env

从零开始搭建UVM平台(六)-加入env

2024-10-01 21:11:02 392

原创 从零开始搭建UVM平台(五)-加入transaction机制

从零开始搭建UVM平台(五)-加入transaction机制

2024-09-30 08:18:27 273

原创 从零开始搭建UVM平台(四)-加入interface

从零开始搭建UVM平台(四)-加入interface

2024-09-29 22:13:51 287

原创 从零开始搭建UVM平台(三)-加入objection机制

从零开始搭建UVM平台(三)-加入objection机制

2024-09-28 07:30:00 200

原创 从零开始搭建UVM平台(二)-加入factory机制

从零开始搭建UVM平台(二)-加入factory机制

2024-09-27 22:49:36 355

原创 从零开始搭建UVM平台(一)-只有uvm_driver的验证平台

从零开始搭建UVM平台(一)-只有uvm_driver的验证平台

2024-09-26 21:38:44 458

原创 VCS的常用仿真命令(持续更新)

vcs常用仿真命令

2024-09-25 21:21:22 532

原创 关于UVM仿真error数量达到指定值就退出仿真的设置

关于UVM仿真error数量达到指定值就退出仿真的设置

2024-09-24 20:51:44 300

原创 sv标准解读第九章-进程

sv标准解读第九章-进程

2024-09-23 21:24:03 814

原创 VCS的常用编译命令(持续更新)

VCS的常用编译命令

2024-09-22 20:59:19 431

原创 vcs/verdi常用命令(持续更新)

vcs常用命令

2024-09-21 21:45:19 210

原创 Makefile的常用语法

Makefile的常用语法

2024-09-20 18:49:46 371

原创 shell常用命令

shell常用命令

2024-09-19 21:26:42 300

原创 sv标准研读第八章-class

sv标准研读第八章-class

2024-09-18 07:30:00 966

原创 linux常用命令

linux常用命令

2024-09-17 19:30:00 238

原创 GVIM常用命令

GVIM常用命令

2024-09-16 19:30:00 168

原创 GCC编译命令汇总

GCC编译命令汇总

2024-09-15 19:30:00 245

原创 sv标准研读第七章-聚合数据类型

sv标准研读第七章-聚合数据类型

2024-09-14 19:30:00 464

原创 sv标准研读第六章-数据类型

sv标准研读第六章-数据类型

2024-09-13 20:41:41 954

原创 Makefile中export的作用

假设在makefile文件里定义了一个变量:DUT_PATH,然后该makefile文件里include了另一个文件:dut.f。在dut.f文件里需要用到这个变量DUT_PATH。当该变量没有用export修饰时,可以发现dut.f里调用该变量会不生效;但是只要将DUT_PATH进行了export修饰,那么dut.f里对该变量的引用就会生效。在makefile文件里,经常看到某些变量前面会用export关键字修饰,那么export的作用是什么呢?

2024-09-12 23:23:35 410

原创 Makefile中的override

若对变量进行赋值一部分需要由命令行(注意命令行只能使用=/:=/+=进行赋值,不能使用?=进行赋值)输入完成,一部分需要写在Makefile文件里,Makefile规则默认会让命令行的赋值覆盖文件里的赋值。要想解决这个问题,需要用到override。

2024-09-11 22:45:04 166

原创 Makefile的四种赋值运算符

makefile的四种赋值运算符

2024-09-10 22:20:08 395

原创 sv标准研读第五章-词法

sv标准研读第五章-词法

2024-09-09 08:54:24 1105

原创 sv标准研读第四章-时间调度机制

sv标准研读第四章-时间调度机制

2024-09-08 16:14:32 1064

原创 sv标准研读第三章-设计和验证的building block

sv标准研读第三章-设计和验证的building block

2024-09-08 15:57:57 1204

原创 sv标准研读第二章-标准引用

sv标准研读第二章-标准引用

2024-09-08 15:21:24 677

原创 sv标准研读第一章-综述

sv标准研读第一章-综述

2024-09-08 15:15:22 789

原创 python基础语法十一-赋值、浅拷贝、深拷贝

python基础语法十一-赋值、浅拷贝、深拷贝

2024-09-07 20:13:51 477

原创 python基础语法十-文件和目录操作

python基础语法十-文件和目录操作

2024-09-07 20:03:22 506

原创 python基础语法九-多进程和多线程

python基础语法九-多进程和多线程

2024-09-07 19:50:32 953

原创 python基础语法八-异常

python基础语法八-异常

2024-09-07 19:34:20 793

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除