基于matlab的fpga硬件在环仿真

准备工作

首先在matlab下的simulink中创建测试模块,通过测试模块产生信号,再传送到FPGA。FPGA处理后将信号无处理传送回matlab。

首先创建板子信息

根据本机软件安装地址修改对应的ToolPath,ToolName是根据软件固定好的;
xilinx ISE的示例如下
hdlsetuptoolpath(‘ToolName’,‘Xilinx ISE’,‘ToolPath’,‘C:\Xilinx\14.2\ISE_DS\ISE\bin\nt64’)
Xilinx Vivado的如下
hdlsetuptoolpath(‘ToolName’,‘Xilinx Vivado’,‘ToolPath’,‘C:\apps\Vivado\2013.4-mw-0\Win\bin\vivado’)
altera的如下
hdlsetuptoolpath(‘ToolName’,‘Altera Quartus II’,‘ToolPath’,‘C:\Altera\12.0\quartus\bin64’)
运行filWizard
在这里插入图片描述
然后创建板子信息,一步步往下,这里选择JTAG方式调试(也可以是网络方式,最后有提及),这里板子信息为AC6102开发板;
在这里插入图片描述
电平标准的名字不能随便填,可以是LVCMOS33这样子,具体参看自己的开发板工程中引脚配置的电平标准名字;
在这里插入图片描述
配置好之后板子信息如下所示:
在这里插入图片描述
选择创建好的一个板子
在这里插入图片描述
添加一个写好的.vhd或者.v文件,并设置顶层文件,多个文件同时载入也可以
在这里插入图片描述
配置好IO口的信息,注意simulink运行后给的复位信号一直是低电平,并且一直处在这个状态,因此如果代码中是!rst_n复位的,那么simulink运行之后,电路状态会一直处在复位的逻辑处理当中。即便如下图1改动红圈内状态也没有作用;所以为了程序运行之后不处于复位逻辑,可以如图2这么写

图1
在这里插入图片描述
图2
图2
在这里插入图片描述
输出路径可以是默认的,之后点击编译,如果matlab命令窗口报错可能是文件语法错误,接着会弹出cmd窗口自运行TCL脚本,板子信息设置错误可能导致这一步出错,运行到最后会显示成功界面

在这里插入图片描述
在这里插入图片描述
接着在生成的模型中加入测试源和示波器,这里就是简单的计数器,记得双击test模块load sof文件进入fpga,之后再Run simulink;
在这里插入图片描述
在这里插入图片描述
最终运行结果
在这里插入图片描述

具体进行硬件在环的方式还可以通过先建立simulink模块,然后在code这里点击进入在环仿真,后面的步骤就是一样的,加入具体的硬件描述语言文件进行,这里比如是一个Controller顶层模块,里面还有两个模块;
在这里插入图片描述
在这里插入图片描述
所以加入的文件是这样子的
在这里插入图片描述
在这里插入图片描述
最后用生成的FIL 模块替换之前的模型即可
在这里插入图片描述
具体文档参考matlab的;
在这里插入图片描述

  • 13
    点赞
  • 59
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
基于MATLABFPGA的FIR滤波器设计与仿真是一种常用的数字信号处理方法。首先,我们可以使用MATLAB来设计FIR滤波器的系数。通过指定滤波器的截止频率、滤波器类型和滤波器阶数等参数,MATLAB可以生成滤波器的系数。 接下来,我们可以使用MATLAB来进行FIR滤波器的仿真。通过输入信号和滤波器系数,我们可以得到滤波后的输出信号。MATLAB提供了丰富的信号处理工具箱,可以方便地进行滤波器的仿真和性能评估。 然后,我们可以将设计好的FIR滤波器用HDL Coder工具箱进行FPGA代码的生成。HDL Coder可以自动将MATLAB代码转换为适用于FPGA硬件描述语言(如VHDL或Verilog)代码。通过使用FPGA开发工具,我们可以将生成的硬件描述语言代码下载到FPGA芯片中进行硬件实现。 最后,利用FPGA进行FIR滤波器的硬件实现。将输入信号传入FPGA芯片,并通过外部接口连接FPGA芯片与其他系统。FPGA会根据设计好的硬件描述语言代码进行滤波处理,并将滤波后的信号传递给输出接口。 综上所述,基于MATLABFPGA的FIR滤波器设计与仿真可以实现高效的数字信号处理。MATLAB提供了强大的信号处理工具,可以方便地进行滤波器设计和仿真。而使用FPGA进行硬件实现,则可以获得更高的实时性能和处理能力。这种方法在许多领域,如通信、音频处理和图像处理等,都得到广泛应用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值