FPGA verilog学习笔记(三)Test bench文件

本文是关于FPGA Verilog学习的第三部分,重点探讨Test bench文件的创建。介绍了四种时钟激励设计方法,包括50%占空比时钟的多种实现方式,以及非50%占空比时钟的生成。此外,还讲解了复位信号的三种设计,包括异步复位、同步复位和复位任务封装。最后,通过加法器的仿真测试文件编写实例,进一步说明Test bench的实际应用。
摘要由CSDN通过智能技术生成

完整的测试文件激起结构为:

module Test_bench();//通常无输入输出
信号或变量声明定义
逻辑设计中输入对应reg型
逻辑设计中输出对应wire型
使用initial或always语句产生激励
例化待测试模块
监控和比较输出响应
endmodule

时钟激励设计
时钟激励产生方法一:50%占空比时钟

parameter ClockPeriod = 10;
initial
	begin
		clk_i = 0;
		forever
			#(ClockPeriod/2)clk_i=~clk_i;
	end

方法二:50%占空比时钟

initial
	begin
		clk_i = 0;
		always#(ClockPeriod/2)clk_i=~clk_i;
	end

方法三:产生固定数量的时钟脉冲

initial
	begin
		clk_i = 0;
		repeat(6);
			#(ClockPeriod/2)clk_i=~clk_i;
	end

方法四:产生占空比不是50%的时钟

initial
	begin
		clk_i = 0;
		forever
			begin
				#((ClockPeriod/2)-2)clk_i=~clk_i=0;
				#((ClockPeriod/2)+2)clk_i=~clk_i=1;
			end
	end

复位信号设计

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值