uvm中call_back使用

uvm_call_back使用

使用机制

  • uvm_call_back的作用是提高验证平台的可重用性
  • 在不改变环境的结构下,修改环境中某些逻辑行为,实现一个环境有多个功能
  • 通过call_back机制实现异常测试用例构建

使用步骤

  • 在环境组件中申明call_back函数或者任务
  • 申明入口call_back空壳类,方便扩展
  • test_case中继承空壳类,扩展某个某个函数或者任务

使用实例

假设在driver组件中实现一个driver_callback回调,以下:

class driver extends uvm_driver#(trans);
  `uvm_register_cb(driver, driver_callback); 
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值