自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

seu他山之石的博客

记录数字IC修炼过程,欢迎交流~

  • 博客(107)
  • 收藏
  • 关注

原创 数字IC校招100问 附解答(完结撒花6666)

1.请说一下数字后端主要做了什么事情?(概述性,难度1)~2. 请简单描述一下数字后端的基本流程?(概述性,难度1)~3. 请说一下CMOS集成电路工艺的大致步骤是什么?(工艺,难度2)~4.请说一下memory的摆放规则?(floorplan,难度2)~5.welltap, endcap cell的作用,以及如何摆放?(place,难度2)~6. Place之后有timing violation,应该怎么办?(place,难度3)7.CTS的目的是什么?怎么样是一个合格的clock

2021-05-31 16:53:24 11043 4

原创 自定义PG连接

【代码】自定义PG连接。

2024-05-30 00:20:05 129

原创 将多个文件按照行数进行排列

【代码】将多个文件按照行数进行排列。

2024-05-30 00:14:27 113

原创 PT常用的换cell评估时序proc

【代码】PT常用的换cell评估时序proc。

2024-05-30 00:02:27 165

原创 PT常用的report timing脚本

PT常用的打报告命令。

2024-05-29 23:56:35 285

原创 fix leakage脚本

芯片的PPA追求是无止境的,因而在修时序的过程中我们需要对设计修复leakage,降低芯片的静态功耗。以下分享一个典型的leakage脚本。

2024-05-28 23:38:36 306

原创 简单的文本处理之sed

linux的sed命令非常强大,本文主要介绍如何在文本开始和结束加入一些关键词。

2024-05-28 23:09:25 85

原创 多电压档hold扫尾

MMMC下STA收敛更为困难,setup通过DMSA可以很好的得到收敛;但是常规的时序修复工具很难通过工具得到最终clean的时序状态,本文介绍一种多模多角下hold的收敛方法。该方法主要通过遍历hold路径上多电压setup的余量,支持从前往后和从后往前两种修复方式。

2024-05-28 22:51:55 331

原创 将PT脚本转化为innovus脚本

1.转化前文本形式2,转化后脚本3.perl 脚本正文if (!if (!if (!chomp;$inst = "";附上截图4.运行命令。

2024-05-07 23:15:11 419

原创 PT通过size vt修时序脚本

以下内容仅供学习参考。

2024-05-06 22:09:27 575

转载 disable path和false path的作用与区别

false path和disable path

2023-01-05 17:19:32 673

原创 VCD文件

VCD文件以ASCII码的形式记录仿真波形,内容是四值,0,1,x,z。x:不确定态z:高阻态可以用来估计功耗,是一种最准确的分析方式。

2021-08-26 19:23:37 854

原创 低电压电路实验设计

低电压电路实验设计文章目录低电压电路实验设计一、S344电路1.1 v0p61.1.1 N = 5001.1.2 N = 10001.1.3 N = 15001.1.4 N = 20001.1.5 N = 30001.1.6 N = 50001.2 v0p71.2.1 N = 5001.2.2 N = 10001.2.3 N = 15001.2.4 N = 20001.2.5 N = 30001.2.6 N = 50001.3 v0p81.3.1 N = 5001.3.2 N = 10001.3.3 N

2021-08-26 10:53:21 1744

原创 修hold violation时插入buffer和delay cell的位置

应当插入靠近capture path(endpoint)的位置,原因有两点:1.防止对其他路径产生影响,因为会有共同路径,保证只影响关键路径。2.防止DRC问题,因为插入的单元驱动能力比较弱。

2021-08-24 00:39:55 2205

原创 为什么ICG常出现setup违规

数字IC后端设计工程师修炼之路如下图所示是一个典型的带有ICG(集成时钟门控单元)的时钟树。ICG连接到的DFF常会有一个反馈信号用以控制ICG的工作状态,但工具本身不会优化这样的路径。launch path delay:a+bcapture path delay:a所以会有一个negative skew对setup不利。所以要让b足够小,因而ICG距离DFF足够近。...

2021-08-24 00:36:00 1863

原创 level shifter和isolation cell如何摆放

低功耗实例——isolation cell及level shifter的选择如下四个电压域,确定是否需要isolation,如果需要确定摆放的位置。level shifter:跨电压域都需要使用,因而除了BC,CB都需要。对于位置的话,H2L因为只有Low的电压,因而减少电源走线放置在des。L2H由于level shifter需要两个电压域的电压供电,减少power rail走线,并且出去driving 电流的考虑,选择放在input端(output需要的driving 电流比较大,input需要的

2021-08-23 20:32:45 3272

原创 模块级建立时间和保持时间计算

模块级建立时间和保持时间计算题目:分析:clk上的延时对于hold是不利的,对于setup是有利的。而d端的delay对于setup是不利的,对于hold是有利的因而:M_setup = Tsetup + Teor-delay + Tinv-delay = 2 + 2 - 1 = 3nsM_hold = Thold - Teor-delay + Tinv-delay = 2 - 2 +1 = 1ns...

2021-08-21 15:11:57 288

原创 芯片尺寸的确定

芯片尺寸的确定在芯片规格定义的时候就会设计到芯片尺寸的确定,一般是后端工程师共同确定尺寸分为两个指标,一个是宽长比一个是面积。面积决定了我们芯片的cost,宽长比决定我们芯片是高瘦型的,矮胖型的还是方形的。主要考虑有以下几个方面:绕线资源,core limit还是IO pad limit,macro和标准单元的面积,memory摆放位置。1.对横向绕线资源匮乏的我们就要选矮胖型芯片设计避免出现routing congestion。2.对于IO pad limit的芯片,因为IO比较多,因而芯片宽长

2021-08-20 16:56:25 3369

原创 net delay可以是负的吗?

net delay可以是负的吗?之前的文章介绍过net delay的分析方式,从马克思主义来说一个东西的delay不可能是负值,但net delay确实看起来像负的。原因:主要是由于crosstalk的影响,在之前的文章也介绍过crosstalk对于net的影响,同向变化crosstalk(negtive crosstalk)的net会减小transition time,看起来好像delay是负的。...

2021-08-20 16:17:08 681

原创 评估CTS质量的指标

1.latency(和工艺偏差和高速设计有关)2.skew(和时序收敛相关)3.时钟树功耗(芯片PPA有关)4.时钟树长度(和工艺偏差和latency有关,线延时比较大,OCV比较大)

2021-08-20 15:59:36 1345

原创 NVIDIA面经整理

面经及部分答案:英伟达实习生面试 ASIC PD岗面经系列:2020年英伟达ASIC PD岗

2021-08-12 23:41:36 3833

原创 floorplan阶段用到的物理单元

floorplan阶段用到的物理单元1.endcap cell / boundary cell:俗称拐角单元。常用于row结尾,row的两边都要加,确保nwell enclosed,形成一个封闭环。或者其它memory,block周围。2.tap cell / welltap cell :闩锁效应...

2021-08-11 11:02:17 697

原创 useful skew有什么坏处

useful skew有什么坏处

2021-08-10 00:19:32 1803

原创 STA(静态时序分析)和LEC(逻辑一致性检查),为什么还要进行后仿真

STA已经没有问题了,为什么还要进行后仿真

2021-08-09 17:08:10 2285

原创 反相器后端版图设计

反相器后端版图设计

2021-08-08 01:11:04 1942

原创 晶体管底层器件特性

晶体管底层器件特性阈值电压:区分mos管导通和截止的分界点g:栅;s:源;d:漏如下左图所示,当vgs到达阈值电压时,电路开启。如下右图所示,随着vds变化,电路处于不同工作区。

2021-08-07 17:29:05 149

原创 现代工艺常用N阱还是P阱附常见mosfet工艺区别

现代工艺常用N阱还是P阱现代工艺常牺牲PMOS优化NMOS所以常用N阱工艺。Q:为什么牺牲PMOS,优化NMOS?n阱工艺:N阱CMOS工艺采用轻掺杂P型硅晶圆片作为衬底,在衬底上做出N阱,用于制作PMOS晶体管,而在P型硅衬底上制作NMOS晶体管。p阱工艺:p阱CMOS工艺采用N型单晶硅作为衬底,在衬底上做出p阱,用于制作nMOS晶体管,而在n型硅衬底上制作pMOS晶体管。双阱工艺:双阱cmos工艺采用p型硅晶圆片作为衬底,在衬底上做出N阱,用于制作PMOS晶体管,在衬底上做出p阱,用于制作nMO

2021-08-07 16:25:49 8950

原创 SPICE仿真原理

SPICE仿真原理SPICE始于伯克利大学,主要将电路元件(mos管和电阻)抽象成数学模型,结合我们的输入网表(定义了单元的连接关系)求解非线性微分方程,得到各个节点的电压和电流。典型mos管的spice模型如下。有一个很大问题就是计算量太大,比较耗时。...

2021-08-06 21:40:27 2281

原创 D latch和D 触发器

D latch和D 触发器文章目录D latch和D 触发器D latch(电平触发)D触发器(边缘触发)建立时间保持时间分析D latch(电平触发)如下图所示,用四个与非门搭建一个经典的锁存器电路。G1,G2形成双稳态电路;G3,G4控制数据输出状态。在CP=1时,G3,G4相当于非门的功能,处于透明的状态,将输入进行输出。在CP=0时,G3,G4相当于恒输出1,相当于关闭外界输入,此时处于不透明状态,将数据进行锁存。D触发器(边缘触发)下面是一个典型的主从(master-slave)D

2021-08-06 15:46:18 7906

原创 温度反转效应

温度反转效应文章目录温度反转效应概念理解温度反转的原因温度对寄生参数的影响概念理解传统工艺下,随着温度的降低,单元延时随之减小。但是在先进工艺下,随着温度的降低,单元延时反而增加的一个现象叫作温度反转效应,可以用下面的图形形象地刻画。所以最差的延时既可能发生在温度最高的情况,也可能发生在温度最低的时候。温度反转的原因温度对晶体管有两个影响,一个是晶体管阈值电压,一个是晶体管的迁移率。随着温度降低,晶体管阈值电压增高,晶体管的迁移率提高。但是阈值电压增高会使延时变大,迁移率增加会使延时变小,因而说明

2021-08-05 21:55:03 6450 1

原创 N中后端常见面试题

N中后端常见面试题1.DC流程Design Complier流程link library 、target library、symbol library、synthetic library对照分析-基础小知识(九)2.

2021-08-05 21:04:13 217

原创 低电压路径时序分析——RPbest

低电压路径时序分析——RPbest电路电压RP值S3440p45R=3;p=3S3440p7R=4;p=3S3441p1R=1;p=7

2021-08-04 16:12:49 263

原创 多种指令架构集(ISA)对比

多种指令架构集(ISA)对比指令集开源复杂指令集(CSIC)/精简指令集(RSIC)特点X86不开源CSIC多用于平板电脑PCARM不开源RISC多用安卓手机架构MIPS2019年开源RISC多用于机顶盒、网关RISCV开源生态RISC比较年轻,多用于智能穿戴,前景广阔,如平头哥玄铁CPU使用RISCV架构...

2021-08-02 23:38:36 433

原创 时钟树的latency为什么要尽量小

时钟树的latency为什么要尽量小主要有两点原因:(latency大说明路径上的buf或者inv比较多)1.延迟大,说明CTS功耗会比较大2.latency大,收到OCV的影响比较大

2021-08-02 20:54:55 1865

原创 低功耗设计——门控时钟

低功耗设计——门控时钟芯片设计进阶之路——门控时钟

2021-08-01 00:51:46 428

原创 时钟树结构

时钟树结构H树90°,扇出为2.X树非90°扇出为4

2021-07-30 11:52:25 772

原创 为什么signoff时候transition满足要求

为什么signoff时候transition满足要求主要是因为我们库里面的查找表的范围是固定的,不能超过它的范围值。

2021-07-30 00:38:32 359

原创 SI(crosstalk)对common path的影响(CPPR)

SI(crosstalk)对common path的影响(CPPR)文章目录SI(crosstalk)对common path的影响(CPPR)一、建立时间和保持时间二、对CPPR的common path的分析三、multicycle path周期的设定一、建立时间和保持时间建立时间:数据在时钟信号到来之前需要保持的时间保持时间:数据信号在时钟信号到来之后需要保持的时间针对建立时间进行分析:捕捉路径捕捉的数据信号,是上一个时钟周期上升沿数据路径发出的信号。因而是在不同的时钟沿的分析。针对保持时间

2021-07-26 20:38:04 3230

原创 静态时序圣经翻译版——Static Timing Analysis for Nanometer Designs

静态时序圣经

2021-07-23 20:23:03 4806

原创 perl脚本编写——提取文本中的所有数字,单行多次匹配

perl脚本编写——提取文本中的所有数字,单行多次匹配1.题目针对如下的shuzi.txt文件提取文件中所有的数字。abcv125edr345adeh47cvf2.编写思路a.按行读入文件b.全局匹配,匹配到数字存入数组中c.输出所有的数字3.脚本如下open IN,'<','shuzi.txt';while(<IN>){ @shuzi = /(\d+)/g;}foreach $key (@shuzi){ print "$key\n";}close IN;

2021-07-23 16:39:24 1571

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除