sdc概述

本文介绍了SDC(Synopsys Design Constraints)在后端芯片设计中的重要性,它关乎电路的时序、面积和功耗。约束包括芯片工作速度、边界约束、设计违例定义、特殊路径设定和禁止的timing arc。文章还强调了不同设计阶段sdc的差异,并预告了具体的sdc命令解析。
摘要由CSDN通过智能技术生成

sdc概述

文章目录

时序分析基本概念介绍——SDC概述
sdc有人认为是standard design constraints,也有人认为是synopsys design constraints,我个人更倾向于后者。sdc是后端芯片设计的命脉,对电路的时序、面积和功耗进行约束。后端timing工程师在编写sdc文件时要非常注意,一个错误的false path或者一个错误的case constant(控制模式类型的常量)都会导致芯片成砖。

约束主要分为以下几类:
1.芯片工作速度。时钟频率相关,比如create_clock,create_generate_clock
2.芯片的边界约束。set_input_delay,set_output_delay
3.定义芯片的一个设计违例。DRV相关,set_max_fanout,set_max_capacitance,set_max_transition
4.定义芯片的特殊路径。set_false_path,set_multicycle_path
5.定义芯片中禁止的timing_arc(时序弧)。set_disabled_timing

值得注意的是不同阶段的sdc是有区别的。综合阶段,因为布线模型比较粗糙,一般都会加大sdc约束。CTS之前又由于时钟网络尚未布线,一般会加大uncertainty。还有signoff工具和PR工具,由于时序引擎不一样,时序约束也会有区别。

下面介绍具体sdc命令

1.时钟相关

create_clock
create_generate_clock
set_clock_uncertainty
set_clock_grou
  • 6
    点赞
  • 83
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

seu他山之石

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值