IIC代码详解

IIC——集成电路总线
两条线:一条串行数据线SDA,一条串行时钟线SCL,半双工
连接到总线的器件可通过唯一的地址和其它器件通信,主/从机角色和地址可配置
下面直接上IIC通信协议代码:
起始条件:SCL为高,SDA由高到低,两个IO口初始化时均配置为推挽输出

#define       SDA_PORT               GPIOA
#define       SDA                    8
#define       SCL_PORT               GPIOA
#define       SCL                    1
#define 	  IIC_WATI               5

void IIC_Start()
{
   
	gpio_out_low(SCL);
	gpio_out_high(SDA);
	delay_us(IIC_WATI);
	gpio_out_high(SCL);
	delay_us(IIC_WATI);
	gpio_out_low(SDA);
	delay_us(IIC_WATI);
	gpio_out_low(SCL);
	delay_us(IIC_WATI);
}

结束条件:SCL为高,SDA由低到高

void IIC_Stop()
{
   
	gpio_out_low
  • 3
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
IIC(Inter-Integrated Circuit)是一种串行通信总线协议,用于在集成电路之间进行通信。它具有简单、高效、可靠的特点,广泛应用于各种电子设备中。 根据引用\[2\]中的内容,IIC总线协议包括以下几个步骤: 1. 起始状态和结束状态:通信开始时,主机发送起始信号,然后发送设备地址和读/写位。通信结束时,主机发送停止信号。 2. 数据传输:主机通过IIC总线向从机写入数据时,将数据发送到从机的地址中。主机从从机读取数据时,从机将数据发送到总线上。 3. 应答信号:在每个数据字节传输后,接收方会发送应答信号,表示是否成功接收数据。 根据引用\[1\]和引用\[3\]中的代码,可以看出顶层模块`iic_send_top`和`iic_recv_top`分别用于发送和接收数据。这些模块通过IIC总线与设备进行通信,并通过时钟信号和数据线进行数据传输。 综上所述,IIC verilog详解主要包括IIC总线协议的特点、通信步骤和数据传输方式,以及通过Verilog代码实现IIC发送和接收功能的设计思路和接口定义。 #### 引用[.reference_title] - *1* *2* *3* [IIC总线的原理与Verilog实现](https://blog.csdn.net/qq_38695100/article/details/119153048)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值