Ubuntu 18.04.5 LTS INCISIVE15 Installation

Thanks for the tuturial from YYP_8020

("在Ubuntu 18.04下安装INCISIVE151_YYP_8020的博客-CSDN博客_incisive安装")

  1. edit the apt source.list
    "  sudo cp /etc/apt/sources.list /etc/apt/sources_init.list  "
    [ comment the deb-src source ]
    Ubuntu 更换国内源_泉伟的博客-CSDN博客_ubuntu换源

    "sudo apt-get update"
  2. Down load and extract the installation & crack files
    1. Download link:百度网盘 请输入提取码https://pan.baidu.com/s/1dm7_uW4z9CK2LUOYb1W86w#list/path=%2F extraction code: 6m3m
    2. What we obtain
      1. INCISIVE15.10.010_Hotfix: source files
      2. InstallScape: installation files
      3. license: Incisive license
      4. patch: crack files
  3. Installation
    1. " cd InstallScape/03.InstallScape/IScape04.23-s010lnx86.t/iscape/bin/ "
    2. " sh ./iscape.sh "

      if erros as above, then JVM env is needed for the iscape according to step-3.
    3. install JVM
      1. " sudo add-apt-repository ppa:linuxuprising/java " (Oracle JDK 11)
      2. " sudo apt-get update "
      3. " sudo apt-get install oracle-java11-installer "
      4. if the above step-1 is not working, then try:
        ubuntu 18.04.2 LTS上安装JDK 11.0.2_Keep&Deep Thinking-CSDN博客_ubuntu安装java11
      5. or: (recommended)
        1. download JDK:
          Installation of the JDK on Linux Platforms
        2. do as the following tutorial:https://jingyan.baidu.com/article/e2284b2b61a2efe2e6118d39.html
          1. extract the "jdk-17_linux-x64_bin.tar.gz"
          2. " sudo mkdir /usr/lib/jdk-17.0.2/ "
          3. " sudo chmod 777 -r /usr/lib/jdk-17.0.2/ "
          4. " sudo cp ./jdk-17_linux-x64_bin/jdk-17.0.2 /usr/lib/jdk-17.0.2/"
          5. " ls /usr/lib/jdk-17.0.2/"
          6. " sudo gedit /etc/profile"

            export JAVA_HOME=/usr/lib/jdk-17.0.2/jdk-17.0.2/
            export CLASSPATH=.:$JAVA_HOME/jre/lib:$CLASSPATH
            export PATH=$JAVA_HOME/bin:$JAVA_HOME/jre/bin:$PATH

        3. " sudo gedit ~/.bashrc "

          #########################
          ######### java ###########
          ##########################
          source /etc/profile

        4. " source ~/.bashrc "
      6. check the java env
        " java --version"

    4. " sh ./iscape.sh "
    5. " sudo mkdir /home/eda/ "
    6. " sudo chmod 777 ./eda/"
    7. set the Preferences
    8. set the local directory/media install



    9. Crack
      1. " sudo cp -r patch /home/eda/cadence/INCISIVE/installs/ "
      2. " sudo mkdir /home/eda/cadence/INCISIVE/installs/license/ "
      3. " sudo cp license/cadence.dat /home/eda/cadence/INCISIVE/installs/license/ "
      4. " cd /home/eda/cadence/INCISIVE/installs/patch/patch "
      5. " sudo ./patch62.sh /home/eda/cadence/INCISIVE/installs/INCISIVE151/ "
        1. reference
          常用EDA软件安装,操作方法,常见错误和警告(synopsys cadence AD)_KGback的博客-CSDN博客
        2. " sudo apt-get install libc6-dev-i386 "  to solve the above lib missing problem.
          [ enable the 32bit exe file to be proceeded on 64bit system ]
        3. " sudo ./patch62.sh /home/eda/cadence/INCISIVE/installs/INCISIVE151/ "

    10. Configure environment variables
      1. " sudo gedit ~/.bashrc"
        ##########################
        ## Cadence-INCISIVE15.1 ##
        ##########################
        export INCISIVDIR=/home/eda/cadence/INCISIVE/installs/INCISIVE151
        export VRST_HOME=/home/eda/cadence/INCISIVE/installs/INCISIVE151
        export LM_LICENSE_FILE=/home/eda/cadence/INCISIVE/installs/license/cadence.dat
        export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools.lnx86/lib
        alias irun="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/irun"
        alias simv="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/simvision"
        alias simvision="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/simvision -64 &"
        alias ncvlog="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/ncvlog"
        alias ncsim="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/ncvsim"
        alias ncelab="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/ncelab"
        alias ncvhdl_p="/home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin/ncvhdl_p"
        export SPECMAN_HOME=$INCISIVDIR/components/sn
        export SPECMAN_DIR=$SPECMAN_HOME/
        export PATH="$PATH:$INCISIVDIR/bin"
        export PATH="$PATH:$INCISIVDIR/tools.lnx86/bin"
        export PATH="$PATH:$INCISIVDIR/tools.lnx86/dfII/bin"
      2. " source ~/.bashrc "
      3. " simv "
        1. " sudo apt install ksh "
        2. " sudo apt install csh "
      4. " simv "
        1. not solved yet
        2. however, with following cmd, it is working ...
          1. " cd /home/eda/cadence/INCISIVE/installs/INCISIVE151/tools/bin "
          2. " simvision "
        3. updated: 2022.01.10

      5. " simvision "

      6. " ncsim "
        1. not solved yet
      7. other remained alias defined in ~/.bashrc is working well 
  4. Testing
    1. 我们采取的是一个加速器设计,并且每一个模块都有列出文件列表,下图是在顶层目录下的run.sh脚本。

      " irun -f $Prj_Dir/filelists/36t_top.flist -timescale 1ns/100ps -gui -access +RWC -linedebug "
    2. " sh run.sh "

    3. interfaces

      Windows  -->  New -->  Waveform:

      加载完预设置的信号配置后,点击运行按钮即可出现波形。同理,新的信号配置也可以保存为.svwf文件,方便修改设计后快速调出上次调试波形,进行迭代。
  • 7
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 20
    评论
评论 20
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值