常用EDA软件安装,常见错误和警告

一、数字IC相关EDA工具

S家EDA工具安装参见:1.2 VCS+Verdi 环境搭建

1.1 Synopsys——DC

1. license太旧,LicGen生成synopsys.dat失败
编辑synopsys.src文件,将每一段第一行的时间改后一点

2. syn.log显示failed to open the tcp port number in the license
原因是lmgrd已经在运行,占用了同一端口。解决方法:要么更换端口(太麻烦),要么输入ps查看lmgrd的ID号,然后kill掉它。

3.license打开之后,syn.log里显示无有效hostid,如

应该是网卡名不一致,虚拟机配置文件里如果ethernet0.generatedAddress = "00:0c:29:c8:3d:11"(举例),centos7里同样MAC地址的网卡名应是eth0。解决方法是打开ifconfig查看哪个网卡MAC一样,修改该网卡名为eth0。修改后可通过lmhostid查看MAC地址是否为00:0c:29:c8:3d:11。

4. 终端中输入dc,no such file or directory

缺少库,直接安装就好了 yum install libncurses.so.5;后面可能还会出现类似错误,那就缺啥装啥就好了。

5. intaller中打开安装软件路径一直显示 is not valid.(即使存在..._linux.tar和..._common.tar)
intaller版本太老,换个新的试试

6. SNPSLMD_LICENSE_FILE
对于通过lmgrd命令起了license的情况,宿主机需将该变量指向“<port>@<hostname>”;否则需要将该变量指向目标license文件

1.2 Synopsys——VCS:

安装方法:

VCS2018在Ubuntu20 18安装步骤_vcs安装 ubuntu-CSDN博客

ERROR:

  1. error-[SFCOR]
    打开terminal的位置和放code的位置不对应,将terminal的运行目录转至file_list.f的位置即可
  2. vcs: line 3254: dc: command not found
    (已解决) vcs报错/home/wangning/ic/synopsys/vcs-mx/O-2018.09-SP2/bin/vcs: line 3312: dc: command not found_this program is proprietary and confidential infor-CSDN博客

  3. /usr/bin/ld: rmapats_mop.o: .symtab local symbol at index 210 (>= sh_info of 1)
    Error: Failed to make rmapats.so @ simv.daidir/rmapats.so
    这种是OS版本不兼容,在新的OS上重装一遍vcs

  4. g++-4.8 unrecognized command line option '-no-pie'
    参考链接第三节:Ubuntu虚拟机中VCS安装和启动过程中遇到的问题总结_虚拟机每次使用vcs都得重新激活-CSDN博客

  5. libvcsnew.so: undefined reference to `vfs_set_dir_map'
    libvcsnew.so: undefined reference to_/opt/synopsys/vcs-mx/o-2018.09-sp2/linux64/lib/lib-CSDN博客

  6. /bin/sh: 0: Illegal option -h
    这种情况一般在ubuntu环境中出现,解决参考链接:安装了synopsys vcs-mx,启动vcs总是报 Illegal option -h(已解决) - EDA资源使用讨论 - EETOP 创芯网论坛 (原名:电子顶级开发网) -

1.3 Cadence——xcelium

安装:

  1. cds_plat: not found
    cadence的EDA工具中很多命令文件都依赖于csh和ksh,有的操作系统中不会自带这些,因此要安装一下。
    参考链接:/CAD/cadence/tools/bin/64bit/simvision: 61: cds_plat: not found_shengyushen的专栏-CSDN博客

  2. ./sfk:no such file or directory
    sfk文件是32 bit的可执行文件,现在很多操作系统都是64bit的,需要安装一下32bit的依赖包。
    注意,ubuntu系统中遇到32 bit和64 bit不兼容时,不会报binary format的错误,注意搞清错误原因。ubuntu环境中运行32bit程序可考虑使用qemu跑,参考链接:让64位的WSL(windows 子 linux系统)支持运行32位程序 - 简书

二、模拟IC相关EDA工具

2.1 Cadence——virtuoso

操作:

1. 仿真后器件显示的参数叠加在一起,可在CIW窗口中输入aelPushSignifDigits(x),调整参数有效位数为x。

ERROR:

  1. error SFE-23 xx is an instance of undefined model

这是因为ADE仿真模型找不到,解决:在setup里的model library里添加相应的.scs文件或者.lib文件,注意section添加相应的属性。有的时候仍然会存在有些元件找不到的情况,只要添加该元件相应的库即可,例如

三、FPGA相关EDA工具

 3.1 Xilinx——vivado

wsl环境的vivado配置

在wsl上安装参考链接,环境一致,亲测可用。安装USB驱动参考“安装Vivado”的第6点

【环境搭建】WSL2安装Vivado、Vitis、Petalinux教程(适用2022及以上版本) - 小v的领域

wsl识别fpga板卡的jtag

虚拟机配置、操作系统安装、windows子系统_子系统和虚拟机-CSDN博客

添加板卡:

vivado 2022.1添加pynq z2板卡_vivado添加板卡-CSDN博客

四、PCB相关EDA工具

Altium Designer

error:

1.Net TDO has only one pin (Pin U3-39)

   解决:放置网络标号的时候需要在导线上出现红色的X字,才算成功添加,不然的话就是没有连上

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

KGback

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值