Modelsim10.5和ISE14.7安装及联合仿真

前言

一直忙于课题,好久没有终于顺利毕业了(转行真不容易)。马上参加工作了,由于转行的缘故,硕士的研究方向和IC相关较小,因此很多知识不得不从头学习。工欲善其事必先利其器,打算以ISE14.7作为编辑编译器写写常见的数字模块,比如奇偶分频、同步异步FIFO、状态机、串口协议等等,利用modelsim跑跑仿真。后续再学习一些设计和验证方面的知识。
下面记录了ISE14.7和modelsim10.5的安装破解及其联合仿真,并通过二分频的例子熟悉仿真的简单操作,安装包链接置于文后,自取。(都是以前从某个公众号里找的,现在公众号没有了,因此把步骤记录下来,免得以后又忘了)

modelsim的安装破解

1、 下载解压,得到安装文件和破解文件;
2、 右键以管理员身份运行modelsim-win64-10.5-se.exe文件,弹出安装界面,点击“下一步”;在这里插入图片描述
3、 选择安装路径,点击“下一步”;
在这里插入图片描述在这里插入图片描述
4、 点击“同意”,等待安装即可;
5、 下面进行破解,打开crack文件夹,复制MentorKG.exe、mgls.dll、patch64_dll.bat到modelsim安装路径中(这里是D:\ModelSim\win64),取消mgls64.dll只读属性,再双击patch64_dll.bat文件运行(这里右键运行会闪退),生成许可证文件,将其另存到安装路径中(我保存在D:\ModelSim下);
在这里插入图片描述
6、 最后是配置环境变量,变量名为MGLS_LICENSE_FILE,路径即为许可证的路径;
在这里插入图片描述
7、破解完成,modelsim10.5此时打开就不会报错了。

ise14.7的安装破解

1、 双击.exe,一直默认接受,点击next即可;
在这里插入图片描述在这里插入图片描述在这里插入图片描述在这里插入图片描述在这里插入图片描述在这里插入图片描述
2、 最后选择安装位置即可,注意不要有空格和中文,中途可能会弹出一些窗口询问要不要安装,一律点击安装即可;
3、 接下来破解,找到如下路径中的libPortability.dll、libPortabilityNOSH.dll,将libPortability.dll重命名为libPortability.dll.orig,将libPortabilityNOSH.dll复制一份,命名为libPortability.dll,原先的libPortabilityNOSH.dll不要删;
在这里插入图片描述

4、将上一步中的libPortabilityNOSH.dll复制过来,重命名为libPortability.dll复制,文件夹中原先的libPortability.dll重命名为libPortability.dll.orig;
在这里插入图片描述
5、最后加载许可文件即可,破解完成。
在这里插入图片描述

二者的联合仿真

1、 打开Simulation Library Compilation Wizard;
在这里插入图片描述

2、 选择modelsim SE,输入modelsim.exe的路径;
在这里插入图片描述

3、 一直next,最后点击Launch Compile Process,等待即可(时间较长);
在这里插入图片描述

4、 只要没有error,不用管warning(事实上我有两个error,没管也不影响后续使用),继续下一步。打开ISE的安装路径中的modelsim.ini,从
在这里插入图片描述

复制到
在这里插入图片描述

这一大段命令复制到modelsim安装路径中的modelsim.ini中即可,如下图
在这里插入图片描述

5、 打开ISE软件,在Edit-Preference左侧Category中选择Integrated Tools,将modelsim.exe的路径放进去;
在这里插入图片描述

6、 最后在新建工程中把仿真软件选择为modelsim即可进行ISE调用modelsim仿真工程。

在这里插入图片描述

接下来利用上面的工具写了简单的二分频仿真测试一下。

简单二分频

设计文件:

module Divider_2(
	input clk,
	input rst_n,
	output reg clk2
);
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		clk2 <= 1'b0;
	end
	else
	clk2 <= ~clk2;
end

endmodule

测试文件:

`timescale 1ns/1ps

module Divider_2_tb;
	reg clk;
	reg rst_n;
	wire clk2;

	initial begin
		clk = 0;
		rst_n = 1;
	#100    rst_n = 0;
	end

	always #20 clk <= ~clk;

	Divider_2 U1(
		.clk(clk),
		.rst_n(rst_n),
		.clk2(clk2)
	);


endmodule

仿真结果:
在这里插入图片描述

总结

以后会不断写一些相关的模块,欢迎互相交流、学习、进步!

安装包

Modelsim10.5:
链接:https://pan.baidu.com/s/1kOIsFxvUt0YzWBItoMki3A
提取码:4ziq
ISE14.7:
链接:https://pan.baidu.com/s/1nzWr5y_HXy1oXnSYlBwjNg
提取码:7m6o

  • 8
    点赞
  • 58
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
### 回答1: ISE14.7和ModelSim可以联合仿真,具体步骤如下: 1. 在ISE中打开设计工程,点击"Generate Programming File"生成bit文件。 2. 在ISE中点击"Generate Simulation"生成仿真文件。 3. 在ModelSim中打开仿真文件,添加ISE生成的bit文件。 4. 在ModelSim中进行仿真,可以查看仿真结果。 需要注意的是,ISEModelSim的版本需要兼容,否则可能会出现兼容性问题。同时,需要确保仿真文件中包含了所有需要仿真的模块和信号。 ### 回答2: ISE(Integrated Software Environment)和ModelSim都是常用的数字电路设计工具,ISE主要用于开发基于FPGA的硬件系统,而ModelSim则是专门用于模拟数字电路的软件。这两种工具可以联合使用进行电路仿真,可以从不同角度完整地验证设计的正确性和性能。 关于ISE14.7和ModelSim联合仿真,有以下几个方面需要注意: 1.仿真文件格式 ISEModelSim之间需要进行文件格式的转换。ISE生成的仿真文件为VHDL或Verilog格式,而ModelSim则需要将这些文件转换成VHDL库或Verilog库文件(.vlib或.v库文件)和测试文件(.vhd或.v文件)。在ISE中,需要将仿真代码添加到ISE工程中,并在设备视图中为仿真添加仿真文件。 2.仿真引擎集成 ModelSimISE之前的仿真引擎需要进行集成设置。在ISE工程设置中,需要选择ModelSim仿真引擎作为仿真工具,该引擎与ModelSim相同。在仿真之前,需要将ISE的设计文件从ISEModelSim,可以在ISE仿真对话框中进行设置。 3.仿真过程 在仿真过程中,可以用ISEModelSim联合仿真。首先,ISE将设计代码编译成可进行仿真的文件,并将其传输到ModelSim。然后,在ModelSim中,可以创建仿真波形来查看仿真结果。这样可以确保电路设计正确,并且可以通过查看波形来进行性能分析和调试。 总体来说,ISEModelSim联合仿真能够有效提高数字电路设计的效率和精度。它使得设计人员能够从不同的角度了解其设计,并检查其设计的正确性和性能。此外,在仿真过程中,可以使用ISEModelSim提供的丰富的工具来帮助完成各种分析和调试任务,为设计人员提供更多的便利和支持。 ### 回答3: ISE14.7是Xilinx公司针对FPGA设计开发的一款综合工具,而ModelSim是一款常用的仿真工具,它们可以联合使用,帮助我们更加全面地验证FPGA设计的正确性。 ISE14.7支持将设计RTL代码和测试用例转换为VHDL或Verilog语言的模拟模型,并通过仿真模拟检测它们的逻辑正确性。ModelSim可以读入ISE14.7生成的仿真文件,进行波形仿真和代码调试,在仿真过程中,可以查看信号的变化,同时输出仿真结果。 ISE14.7和ModelSim联合仿真的优势在于可以在Xilinx工具链中完成FPGA设计的整个流程。在仿真过程中,我们可以通过三种方法进行联合仿真。 第一种方法是通过ISE GUI进行联合仿真设置。从ISE软件中启动ModelSimModelSim将自动读取ISE工程文件,并映射到ModelSim项目中。此外,ModelSim将执行VHDL代码仿真。在这种情况下,在ModelSim中打开一个CO模拟文件即可进行波形仿真。 第二种方法是通过ISE GUI设置仿真选项。通过ISE GUI,我们可以选择仿真选项来执行联合仿真,同时可以配置ModelSim仿真软件。通过配置ModelSim仿真软件,可以选择VHDL仿真/Verilog仿真,从而在仿真过程中匹配ModelSim仿真软件所需的仿真语法。 第三种方法是通过命令行设置仿真选项。通过-xise指定ISE工程文件和-xsimlib指定ModelSim仿真模式,即可完成仿真过程。 总之,ISE14.7和ModelSim联合仿真可以在FPGA设计的整个流程中发挥重要作用,它们可以提高FPGA设计的正确性,并在仿真过程中有效验证电路的正确性,同时也可以提高FPGA设计的工作效率和设计质量。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值