Verilog学习笔记 (四)QPSK调制实现

 Verilog的QPSK调制实现


QPSK调制一般采用两种方式:(1)调相法  (2)四相位选择法

一、调相法

 利用三段式状态机,实现由输入信号输出 两路正交相位调制输出

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2019/07/27 13:23:19
// Design Name: 
// Module Name: QPSK
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module QPSK(clk,rst_n,din,valid_in,out_real,out_imag,valid_out);

 input clk;
 input rst_n;
 input valid_in;     //控制信号输入
 input din;          //输入信号
 output out_real;    // 实部输出
 output out_imag
  • 9
    点赞
  • 84
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值