一位二选一的数字选择器

一.一位二选一的数字选择器

功能代码:

module mux22(in1,in2,sel,out);
	input in1,in2;
	input sel;
	output out;
	wire out;
	assign out =sel?in1:in2;
endmodule

测试代码:

module mux22_td;         
reg sel,a,b;
mux22 u(a,b,sel,out);
always #10 sel=~sel;
initial
	begin
	     a=1; b=0;
	     sel=0;
  	    #10 a=0;b=1;
	    #10 a=1;b=0;
	    #10 a=0;b=1;
        #20 a=1;b=0;
        #20 a=0;b=1;
	end
endmodule

运行结果:

二.D触发器

 功能代码:

module dff(din,clk,q);
output q;
input din,clk;
reg q;
always@ (posedge clk)
	q<=din;
endmodule

测试代码:

dff u1(din,clk,q);
always
	#5 clk=~clk;
initial
	begin
	clk=0;din=0;
	#10 din=0;
	#10 din=1;		//此时为20s处
	#10 din=0;
	#10 din=1;
	#10 din=0;
	#10 din=1;
	#10 din=0;
end
endmodule


运行结果:

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值