FPGA学习——二选一多路选择器

FPGA学习——二选一多路选择器

         自从买了板子,自己刷视频已经快一个月了,虽然跟着视频和给的视频能自己实现功能,但是让自己设计还是很吃力,有点摸不着头脑,所以转过头来想从基层学起,虽然过程会很漫长,但是基础搭不牢,始终心里不踏实,所以我通过夏宇闻的《数字系统设计教程》里的内容,把书中的一些设计思想,设计原理,设计流程和代码的仿真好好做一做,把基础打牢,边学基础,也把前面做的一些设计在重新打一下,主要侧重设计思想的训练。

二选一多路选择器

         原理介绍sl为控制信号,a,b为两个输入信号,out为输出信号。当sl为低电平时,输出out=a,当sl为高电平时,输出out=b。

仿真原理图: 在这里插入图片描述
代码片

module muxtwo(out,a,b,sl);

input    a,b,sl;
output   out;
reg      out;

always @(sl or a or b)
 if(!sl) out = a;
 else    out = b;

endmodule

Modelsim仿真
---------------------------可以
可以看到当sl为0时,输出值为1,与a值相同,与我们的理论相符合,仿真成功。

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值