FPGA中2选1多路选择器

持续赋值方式

        定义输入为a,b;片选信号为sel;输出为out;则代码为:

module    MUX21_1(out,a,b,sel);
    
    input    a,b,sel;
    
    output    out;

assign    out = (sel==0)?a:b;    //持续赋值,如果sel=0,在out=a;否则out = b。

endmodule

阻塞赋值方式

        定义输入为a,b;片选信号为sel;输出为out;则代码为:

module    MUX21_2(out,a,b,sel);
    
    input    a,b,sel;
    
    output    out;

    reg    out;

always    @(a or b or sel)
    begin
        if(sel == 0)
            out = a;        //阻塞赋值
        else
            out = b;
    end


endmodule

仿真程序

`timescale  1ns/1ns

module mux_tp();

reg a,b,sel;

wire out;

MUX21_1  m1(
    .a(a),
    .b(b),
    .sel(sel),
    .out(out)
);

initial
    begin
        a = 0;b=0;sel=0;
        #5 sel = 1;
        #5 a = 1;sel = 0;
        #5 sel =1;
        #5 a=0;b=1;
        #5 sel = 1;
        #5 a=1;b=1;sel = 0;
        #5 sel = 1;
    end

initial $monitor($time,,,"a=%b b=%b sel = %b",a,b,sel,out); //显示结果

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值