二选一多路选择器

原理

 

源文件

// 二选一多路选择器
module mux2(a, b, sel, out);    //端口名称
    
    input sel;                  //端口定义,input:输入端口;output:输出端口
    input a;
    input b;
    output out;
    
    assign out = (sel == 1)?a:b;//assign:连线,将sel == 1)?a:b的结果与 out端口相连

endmodule

仿真文件

`timescale 1ns / 1ns        // 步进 / 精度

module mux2_tb();            //仿真文件名,无端口
/*定义类型,reg:寄存器类型,也相当于一个D触发器,且可以赋值;
           wire:线网型,起连接作用,就是一条导线,只能用assign赋值
*/
    reg sel; 
    reg a;
    reg b; 
    wire out;

    mux2 mux2_inst(      //mux2:仿真模块,mux2_inst:仿真模块起别名
         .sel(sel),      //将定义好的类型给到仿真模块的端口
         .b(b),          //例如:.sel:模块里的端口(将上面定义的reg型sel放到这)
         .a(a),
         .out(out)
    );
    
    /*仿真就是验证所写的程序能不能实现相应目的,
      仿真的条件和结果是已知的。
      例如,当sel = 0; a = 0; b = 0;时,out=b,    
    */
    initial                     //模拟仿真
    begin                       //begin end,相当于C语言的花括号{}
        sel = 0; a = 0; b = 0;  //当sel = 0; a = 0; b = 0;时,out会有什么结果
        #200;                   //延时200纳秒
        sel = 0; a = 0; b = 1;
        #200;
        sel = 0; a = 1; b = 0;
        #200;
        sel = 0; a = 1; b = 1;
        #200;
        sel = 1; a = 0; b = 0;
        #200;
        sel = 1; a = 0; b = 1;
        #200;
        sel = 1; a = 1; b = 0;
        #200;
        sel = 1; a = 1; b = 1;
        #200;
    end
    
endmodule

  • 1
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值