【图像处理】基于FPGA verilog 边缘检测图像处理sobel算子实现

项目:【基于FPGA verilog 边缘检测图像处理sobel算子实现

项目介绍:Sobel 边缘检测算子是一阶导数,Sobel边缘检测算法的实现是利用3*3个上下左右相邻的像素点进行计算的,根据上下左右像素点的值计算出图像的水平和垂直的梯度,然后将水平和垂直梯度根据对应的算法计算出梯度值,如果这个梯度值大于设定的阀值,那么这个像素点就是边缘部分,否则就认为该像素点不是图像的边缘部分。如图1为3×3区域相邻的像素点,图2为Sobel水平梯度算子,图3为Sobel垂直梯度算子。

GX = (G3+2 *G6 + G9 ) - (G1 +2 *G4 + G7 )

GY = (G7+2* G8 + G9 ) - (G1 +2* G2 + G3 )

| G | =(GX^2+ GY^2)^1/2

判断| G |是否大于阈值&#

  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值