FPGA verilog 基于SPI总线协议控制flash的项目升级

本文介绍了如何使用FPGA通过SPI总线协议控制Flash进行项目升级。首先讲解了SPI的基本概念,接着阐述了擦除和写入Flash的步骤,包括发送指令和地址。然后提出了在产品中无法使用JTAG时,采用分区策略进行系统和功能逻辑的更新。最后,展示了通过SPI控制Flash进行项目升级的实现,使得后续的程序更新可以通过串口完成。
摘要由CSDN通过智能技术生成

项目一:SPI总线控制Flash的擦除功能

SPI(Serial Peripheral Interface,串行外设接口)是Motorola公司提出的一种同步串行数据传输标准是一种高速的,全双工,同步的通信总线,在很多器件中被广泛应用。

先执行写指令,然后执行擦除指令,再写扇区地址页地址。

项目二:SPI总线控制Flash的写功能

先执行写指令

评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值