FPGA verilog HDL 基于有限状态机设计自动贩卖机及综合

项目:FPGA verilog HDL 基于有限状态机设计自动贩卖机及综合

运用模块:按键消抖模块、有限状态机模块、LED模块(呼吸灯、双向流水灯、流水呼吸灯)、数码管模块。

项目内容:1、设置三个按键:常用的复位键、按键1(0.5元)、按键2(1元)。按键1、按键2要按键消抖。

                  2、运用有限状态机:初始状态(未投币)、每投0.5元便会亮一盏led灯,若直接投1元便会亮二盏led灯,当金额累    计到 2元时,亮四盏灯,若再投0.5元,led灯为呼吸灯(可乐出!),若在2元的基础上投1元,找零0.5元,找零期间为流水呼吸灯,找零后可乐出,led灯为呼吸灯。最后4S后还原初始状态,led灯为双向流水灯!

                  3、数码管要实时与投币结果相同,投0.5元显示投0.5,累计金额为2元时也显示2元,当遇见找零的情况下显示-0.5 元,当可乐出货时,数码管显示888888表示为出货!

项目构建:

  • 3
    点赞
  • 73
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
VerilogHDL有限状态机是通过组合逻辑和时序逻辑的混合方式来实现的,其中组合逻辑用于判断当前的状态和输入信号,并输出下一个状态的控制信号;时序逻辑用于同步控制信号和状态寄存器的状态,确保状态机在时钟上升沿时进行状态转移。 在VerilogHDL中,有限状态机通常由状态寄存器、组合逻辑和控制信号三部分组成。状态寄存器用于存储当前状态,控制信号用于控制状态转移,组合逻辑用于根据当前状态和输入信号生成控制信号。 VerilogHDL有限状态机的实现步骤如下: 1. 定义状态寄存器和状态编码:定义状态寄存器用于存储当前状态,每个状态都有一个唯一的状态编码。 2. 定义输入信号和输出信号:定义输入信号和输出信号,这些信号将用于控制状态转移和生成输出数据。 3. 定义状态转移条件和控制信号:定义状态转移条件和控制信号,这些条件和信号将用于确定下一个状态和控制输出数据。 4. 实现组合逻辑:根据当前状态和输入信号,实现组合逻辑来生成控制信号。 5. 实现时序逻辑:使用时钟信号同步控制信号和状态寄存器的状态,确保状态机在时钟上升沿时进行状态转移。 6. 测试和调试:对实现的有限状态机进行测试和调试,确保它能够正确地实现所需的功能。 总的来说,VerilogHDL有限状态机是一种非常强大的设计工具,能够帮助设计者实现高效、可靠的控制电路。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值