HDLBits-Vector reversal 1

Given an 8-bit input vector [7:0], reverse its bits ordering.

module top_module(
    input [7:0] in,
    output [7:0] out
    );

    assign out = {in[0], in[1], in[2], in[3], in[4], in[5], in[6], in[7]};

endmodule

for-loops:

integer i;
always @ (*) begin
    for(i = 0; i < 8; i = i + 1)
        out[i] = in[7-i];
end

generate-for:

generate
    genvar i;
    for(i = 0; i < 8; i = i + 1) begin: my_block_name
        assign out[i] = in[7-i];
    end        
endgenerate

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值