带你入门FPGA——怎么找到FPGA的时间

第二章——同步时钟、同步/异步电路

第二章 同步时钟、同步/异步电路


前言

同步电路理论是现代数字电路的重要基础,是推动摩尔周期重要的背景理论(基础研究)。


一、同步时钟(synchronous clock)

当两个时钟的相位关系是固定关系,则可以称这个时钟为同步时钟(synchronous clock),经过一个PLL产生相位不同,但是相位固定的两个时钟,他们依旧是同步时钟。

二、异步时钟(asynchronous clocks)

异步时钟是两个晶振产生的时钟,因为两个晶振在上电时相位差是随机的,而且不同晶振时钟漂移抖动也不一样,所以相位是不固定的。当无法判断两个时钟间的相位时,则可以称这两个时钟为异步时钟(asynchronous clocks)。

三、同步时序电路

同步时序逻辑特点

各触发器时钟端会全部连接在一起,并接在系统的时钟端,只有当时钟脉冲到来时,电路的状态才改变,改变后的状态会一直保持到下一个时钟脉冲的到来。

  1. 电路核心逻辑是用各种触发器实现;
  2. 电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的;
  3. 同步时序电路可以很好的避免毛刺;
  4. 利于器件移植;
  5. 利于静态时序分析(STA)、验证设计时序性能。

三、异步时序电路

异步时序逻辑特点

(1)电路没有统一的时钟,电路中除了可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延时元件作为存储元件。
(2)电路状态的改变是由外部输入的变化直接引起的。

  1. 电路核心逻辑是用组合电路实现;
  2. 异步时序电路的最大缺点是容易产生毛刺;
  3. 不利于器件移植;
  4. 不利于静态时序分析(STA)、验证设计时序性能。

总结

以上就是今天要讲的内容,本文仅仅简单介绍了同步时钟异步时钟的产生,和同步时序电路和异步电路的逻辑特点。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

你的信号里没有噪声

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值