Power Analysis and Optimization功率分析与优化

Xilinx学习FPGA——Power Analysis and Optimization功率分析与优化


前言

目标:1、使用Vivado®Design Suite power Report实用程序估计功耗;2、对设计进行电源优化。

功耗是一个非常重要的术语,FPGA的功耗被认为是动态的。这意味着当所有资源都在使用时,功耗可能会更高,而当资源不被使用时,功耗可能会更低。当所有FPGA资源未被使用时,节省功耗非常重要,并且了解每个资源(例如触发器)是否随每个时钟改变状态也很重要,因为这会消耗更多功耗。仿真结果网表文件,即交换活动交换格式(SAIF)文件,提供了设计活动信息。使用SAIF文件导入此信息对于生成最准确的最坏情况功耗估计至关重要。获得准确的功率估计的一个重要因素是设计活动必须是现实的。Xilinx Power Estimator工具(XPE)是一个功率估计工具,通常用于项目的预设计和预实现阶段。建议在合成后的每个阶段估计功率


一、影响功耗的因素:

  1. 输出负载和电源电压
  2. 系统性能(即开关频率)
  3. 设计密度(即interconncs)
  4. 设计活动(即,互连切换的百分比)
  5. 逻辑块和互连结构

二、功率报告Vivado Power Report

1.Vivado Power Report组成

Vivado Power Report是一个用于估计FPGA功耗和结温的实用程序。它读取设计的实现信息和功率分析的时序约束。
为了使Power Report更准确,用户应提供时钟频率、网络、逻辑元件和输出引脚的活动率、输出引脚上的容性负载、电源数据和环境温度等信息,以及来自仿真的详细设计活动数据,这是一个SAIF文件。
功耗报表”计算总平均功耗,生成该报表。在给定准确的活动率的情况下,该报告的准确度在+/- 10%以内。

2.Vivado Power Report选项

Vivado电源报告报告了不同电压电源的热信息以及静态和动态功率。它验证了XPE电子表格中给出的估计的准确性,并分析和检查了可以降低功耗的区域的数据。它使设计人员能够覆盖由Vivado Design Suite制作的默认活动设置,并生成设计功耗报告,并将其添加到整个项目文档中。Power Report有不同的选项,用户可以使用这些选项自定义报告:

  1. Environment选项卡允许用户添加有关设备和环境设置的信息。
  2. “电源”选项卡允许用户添加应用于每个电源轨的电压信息。
  3. Switching选项卡指定活动文件saif)、切换速率和设备资源的静态概率。
  4. Output选项卡允许用户选择他们的输出格式。它可以是文本文件、XPE文件或RPX文件。输出文本文件指定用于写入功率结果的ASCIl文件的名称。XPE文件指定要导入到Xilinx Power Estimator电子表格中的XML文件的名称。RPX文件将报告功率命令的结果写入指定的报告文件(RPX)。
    

Report_Power

二、Power Report显示两个主要部分:摘要summary和利用率utilization

1.Summary

摘要部分提供热和电源结果的概述。它计算一个估计的结温和热裕度,并提供报告,设置和热信息在一个实用程序中,如图所示。报告的热性能包括估计结温,有效θ JA和热裕度。随着模拟活动数据(.saif)的加入,置信水平得到了提高。
Power Report

2.Utilization

“利用率”部分按资源类型显示功耗。电源被划分为设备资源、时钟和设计层次。

  • Hierarchy选项允许您按照顶层和低层块划分功耗。
    Hierarchy

“时钟域”选项允许您验证时钟资源的功耗。设计人员应该为所有时钟输入准确的时钟约束,因为它们被Vivado设计套件用于功率估计。
clock_domain

“资源类型”选项允许您将功耗划分为不同类型的资源。这很有用,因为一些专用硬件(如块RAM)具有一些易于验证的低功耗特性;
resource_type

。I/O类型选项允许您将功耗划分为设计使用的各种I/O标准。
I/O_type

三、功率优化

Vivado设计套件提供了各种电源优化,以减少动态功率高达30%的设计。

  1. ASIC风格的时钟门控技术:该技术使用时钟使能对切换节点进行门控,并在不影响设计功能的情况下最小化切换活动。例如,智能时钟门控创建了额外的门控逻辑,还将专用块RAM的功耗降至最低。
  2. 在实现设置中选择启用选项。这使得电源优化可以作为实现的一部分运行。
  3. 设置功率优化约束:在运行功率优化之前,用户可以通过选择或取消选择需要进行功率优化的单元格来设置功率优化约束。set_power_opt命令提供了包括或排除单元类型、层次结构级别或时钟域以进行电源优化的选项。
  4. 在Vivado design Suite中还有一些功耗优化Tcl命令,如power_opt designreport power opt等。power opt design命令使用智能时钟门控优化动态功率,report_power_opt命令报告功率优化。

总结

  1. Vivado电源报告可以包括活动率信息,以更好地计算平均功耗这比手动输入估计的活动率信息(或默认信息)更容易,也更有效关联一个电源活动文件(SAIF),使工具能够优化系统的动态功耗
  2. “Vivado功耗报告”提供了按层次结构、时钟域、资源类型和l/O功耗划分的功耗报告
  3. Vivado设计套件还支持电源优化报告,使您能够确定设计已进行了多少电源优化
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
《凸分析优化》是Bertsekas与Nedic以及E.书写的一本关于凸分析优化的权威参考书。该书包含了凸分析优化的基本概念、理论和算法,并且提供了很多实际应用的案例研究。 凸分析是数学中的一个重要分支,研究的是凸集、凸函数以及凸优化问题。凸集具有很多重要的性质,例如任意两点连线上的所有点都属于该凸集,这种性质在优化问题中具有重要的应用。凸函数是一类具有上凸性质的函数,具有很多重要的性质,例如全局最小值的存在和唯一性等。凸优化问题是指最小化或最大化一个凸函数的问题,它在数学理论和实际应用中都具有广泛的应用。 《凸分析优化》系统地介绍了凸分析优化的理论和算法,包括凸集、凸函数、凹函数、凸优化、线性规划、二次规划、非线性规划等内容。书中详细介绍了凸函数的性质、最优性条件、对偶性等重要概念,还介绍了常用的凸优化算法,如梯度下降法、牛顿法、内点法等。此外,书中还涉及了一些特殊的凸优化问题,如稀疏优化、凸优化的分布式求解等。 《凸分析优化》的特点是理论与实践相结合,既提供了严格的数学证明,也提供了大量的实际案例和算法。这些案例和算法帮助读者更好地理解和应用凸分析优化的理论知识。此外,书中还提供了大量的练习题和习题解答,供读者巩固和检验所学知识。 总之,《凸分析优化》是一本权威而全面的关于凸分析优化的参考书,对于数学、工程等领域的研究者和学习者来说,是一本不可或缺的重要书籍。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

你的信号里没有噪声

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值