初见ModelSim

ModelSim是我见过的最难找到可执行文件的一个。。


10651191-d424a02006477bb5.png
路径

第一次接ModelSim, 跟着教程一步步的做一遍。

建立work library

10651191-4c652f9ca1f9505f.png
第一面

可以看到第一个是一个work字样

所以我们要新建一个名字叫做work的library

新建verilog文件

ModelSim默认的为VHDL文件, 不要一下回车就爽到底了


10651191-36a5ea90fe07d074.png
愉快的编辑代码
module half_clk_dai(clk_in, rst, clk_out);

input clk_in;
input rst;

output clk_out;
reg clk_out;

always @(posedge clk_in or negedge rst)
    begin 
        if(!rst)
            clk_out<=0;
        else
            clk_out<=!clk_out;
    end
    
endmodule

遗憾的是sublime text是不支持verilog的

`timescale 1ns/1ns

module half_clk_top;

reg clk_in;
reg rst;

initial 
begin 
    clk_in=1;
    rst=1;
    #1000
    rst=0;
    #1000
    rst=1;
    end
    
    
always #200 clk_in=~clk_in;

half_clk_dai dai1(.clk_in(clk_in), .rst(rst), .clk_out(clk_out));

endmodule

大概上面一段代码的含义就是
如果是rst的下降沿, 就会清零, 如果是clk_in的上升沿, 就会反向。

10651191-a533a8420a707f98.png
仿真

基本操作就这些了,写的很粗略

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值