ModelSim仿真教程

本文章详细介绍了怎样用ModelSim仿真Verilog,虽然只是很简单的一个二分频器的例子,但却正式小白入门所需要的。

本教程以ModelSim SE 10.4为例

1. 新建工程

file->new->project,输入工程名 例如half_clk

2. 新建文件

新建二分频器模块文件和testbench文件,分别为half_clk和half_clk_tb,注意type选择Verilog。

3. 编写模块文件和激励文件内容

half_clk.v

half_clk_tb.v

4. 编译文件

编译前一定注意要保存文件!! 【ctrl+s】

点击工具栏按钮,编译全部文件,如果文件名后的status显示√就表示没有语法错误,编译成功。

否则

如果有错误可以双击红色的error查看具体的错误信息。

5. 工程仿真

点击工具栏的Simulation按钮进行仿真。

 

选择work->half_clk_top,因为只需要对testbench文件进行仿真就行,取消左下角的优化选项,因为可能会把object框里的信号量优化掉.

 

 

在 instance里显示了testbench的文件结构,有一个always和一个initial,调用的half_clk里有个一always循环。

 

在信号量上 右键->add > To Dataflow > Selected Items可以查看设计图

如果ware里没有信号可以右键->add wave添加,然后设置运行时间5ms,点击run,就会显示波形。

 

可以看到分频器正确运行,输出频率是输入频率的一半。

 

 

 

转载于:https://www.cnblogs.com/lepeCoder/p/7230569.html

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: 要使用Quartus和ModelSim进行联合仿真,可以按照以下步骤进行操作。首先,编辑Verilog HDL语言代码,然后在Quartus中绘制原理图。接下来,可以使用Quartus生成激励文件,并对其进行编辑以产生激励信号。然后,选择ModelSim作为仿真软件,并设置ModelSim软件路径和vt文件路径。添加vt文件后,可以运行联合仿真,这将自动打开ModelSim并生成波形图。最后,可以查看仿真结果并进行必要的调整。\[2\] 请注意,具体的操作步骤可能会因软件版本和设置而有所不同。建议参考Quartus和ModelSim的官方文档或教程以获取更详细的指导。 #### 引用[.reference_title] - *1* *3* [quartus+modelsim仿真教程](https://blog.csdn.net/qq_43516928/article/details/123113332)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [quartus和modelsim联合仿真详细教程](https://blog.csdn.net/waj123456WAJ/article/details/107999187)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值