verilog模块例化特殊写法

例如模块a,代码如下:

module a(
	input [2:0] i_a,
	output      o_b
);
...//中间逻辑省略
endmodule

常规模块例化写法如下:

module tb();
wire [2:0] A;
wire       B;

//常规例化a
a a_1(
	.i_a (A),
	.o_b (B)
);
endmodule


今天读代码时看到模块例化时是有位宽的,代码如下:

module tb();
wire [17:0] A;
wire [ 5:0] B;

//例化a时带位宽
a a_1[5:0](
	.i_a (A),
	.o_b (B)
);
endmodule

这样例化后,在verdi中打开波形查看代码层级时,可以发现层级为:

tb
 |- a_1[0]
 |- a_1[1]
 |- a_1[2]
 |- a_1[3]
 |- a_1[4]
 |- a_1[5]

猜测信号关系为:

tb.A[17:0]={a_1[5].i_a,a_1[4].i_a,a_1[3].i_a,a_1[2].i_a,a_1[1].i_a,a_1[0].i_a};
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog模块是指在代码中调用其他模块以构建更大的系统。它类似于面向对象编程中的函数调用。这种方法可以使设计过程更加高效,代码更易于管理。下面是verilog模块语法的详细介绍。 Verilog模块是通过实模块来使用的。在模块调用之前,需要定义模块的接口。在verilog中,模块接口由输入、输出和其他模块参数组成。模块定义使用“module”关键字并指定模块名称。模块接口由“input”和“output”关键字加上端口名称和端口宽度组成。如: module MyModule(input a, output b, output [0:7] c); 模块接口定义后,可以在其他模块中实模块并将其连接到其他模块模块使用“instan”关键字,指定模块名称、实名称和连接的端口。如: MyModule my_inst(.a(input_signal), .b(output_signal), .c(output[3:5])); 上面的子中,MyModule被实为名为my_inst的实。输入信号input_signal连接到a端口,输出信号output_signal连接到b端口,output[3:5]连接到c端口,这是将output端口中的3~5位连接到my_inst的端口。 在实的过程中,如果想要连接一个未命名的端口,可以使用“.”加上端口名称进行连接。如: MyModule my_inst(.a, .b, .c); 在这种情况下,连接的端口的信号将默认为当前环境中有同名的信号,也可以使用其他方式进行连接。 总之,Verilog模块是将多个模块连接在一起,建立复杂的电路系统的方法。它本质上是在不同的模块之间创建通道,以实现数据和信号的传输。模块可以使设计过程更具模块、可维护性和灵活性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值