verilog手撕代码6——数据包检测器


前言

2023.5.7 最近在做其他项目内容


一、数据包检测器

正常情况下数据包由起始码(16bit)、数据段(n byte<256)、结束码(16bit)3部分组成。起始码为0xFF00,结束码为0xFF01。在一个完整的数据包中,数据段部分不会出现起始码和结束码,请设计一个电路在码流中检测完整且有效的数据包,并输出当前数据包的有效数据长度n。

module data_packet_detect(
	input clk,
	input rstn,
	
	input [7:0] din,
	input din_vld,  //输入数据有效信号
	
	output [7:0] data_cnt,  //数据包的有效长度,类型是wire
	output data_cnt_vld
    );

	localparam IDLE = 0;
	localparam START = 1;
	localparam DATA = 2;
	localparam OVER = 3;

	reg [1:0] state,next_state;
	reg [7:0] data_cnt_reg;  //所以这里定义一个reg来储存长度
	reg flag_FF;  // 此标志位为数据包将结束时收到的FF
	
	always @(posedge clk or negedge rstn) begin
		if(!rstn) state <= IDLE;
		else state <= next_state;
	end
	
	always @(*) begin
		case(state)
			IDLE:
				begin
					if(din_vld && din == 8'hFF) next_state = START;
					else next_state = IDLE;
				end
			START:
				begin
					if(din_vld && din == 8'h00) next_state = DATA;
					else next_state = IDLE;
				end
			DATA:
				begin
					if(din_vld && din == 8'h01 && flag_FF) next_state = OVER;  //收到FF01
					else next_state = DATA;
				end
			OVER:
				begin
					next_state = IDLE;
				end
			default: next_state = IDLE;
		endcase
	end
	
	always @(posedge clk or negedge rstn) begin
		if(!rstn) data_cnt_reg <= 8'd0;
		else begin
			if(state == DATA) data_cnt_reg <= data_cnt_reg + 1'b1;
			else if(state == OVER) data_cnt_reg <= 8'd0;
			else data_cnt_reg <= data_cnt_reg;
		end
	end
	
	always @(posedge clk or negedge rstn) begin
		if(!rstn) flag_FF <= 1'b0;
		else begin
			if(din_vld && state == DATA && din == 8'hFF) flag_FF <= 1'b1;
			else flag_FF <= 1'b0;
		end
	end
	
	assign data_cnt_vld = (state == OVER)? 1'b1 : 1'b0;
	assign data_cnt = data_cnt_reg - 2'd2;  // 实际数据包长度需要减去结束标志位的2个字节

endmodule
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
序列检测器是一种电路,用于检测输入信号序列是否符合某种特定的模式。在Verilog HDL语言中,可以使用状态机来实现序列检测器。以下是一个简单的序列检测器Verilog HDL代码示例: ```verilog module sequence_detector( input clk, // 时钟信号 input reset, // 复位信号 input data, // 输入数据信号 output reg match // 匹配信号 ); // 定义状态 typedef enum logic [1:0] { STATE_IDLE, // 空闲状态 STATE_S1, // 状态1 STATE_S2, // 状态2 STATE_S3 // 状态3 } state_t; // 定义状态转移表 parameter [3:0] TRANS_TABLE [0:3] = '{4'b0000, 4'b0001, 4'b0010, 4'b0100}; // 定义当前状态变量和下一个状态变量 reg [1:0] state, next_state; // 初始化状态为IDLE initial begin state = STATE_IDLE; end // 定义状态机逻辑 always @ (posedge clk, posedge reset) begin if (reset) begin state <= STATE_IDLE; end else begin state <= next_state; end end // 定义状态转移逻辑 always @ (*) begin case (state) STATE_IDLE: begin if (data) begin next_state = STATE_S1; end else begin next_state = STATE_IDLE; end end STATE_S1: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S2; end end STATE_S2: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S3; end end STATE_S3: begin if (!data) begin next_state = STATE_IDLE; match = 1; end else begin next_state = STATE_S3; end end endcase end endmodule ``` 这个序列检测器可以检测输入数据信号是否符合“1101”这个模式。输入数据信号通过data端口输入,匹配结果通过match端口输出。当输入数据信号符合“1101”这个模式时,match信号会被置为1。如果输入数据信号不符合模式,match信号会保持为0。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值