Verilog RTL 代码实战 04——序列检测器的两种实现方法

0.序列检测器的作用

序列检测器:将一个指定的序列从数字码流中识别出来。下面设计一个序列检测器,将码流中的“10010”序列检测出来:

①三个输入,clk,rst_n,x,其中x是一位的输入,由x传输的多个数据构成码流

②输出z,在检测到完整的10010序列时,z拉高

1.用状态机实现序列检测器

这个在我的FPGA实例的第7个文章有介绍,包括代码和测试文件:

链接:FPGA实例07——序列检测器设计及测试(使用状态机)

2.用移位寄存器实现序列检测器

用移位寄存器的方法比状态机的方法代码要轻简很多,先说一下原理:

①先定义一个5位的变量用来移位——shift = 5’b00000;

②然后每输入一位x,移位放至shift中——shift <= {shift[3:0], x};

图示:
在这里插入图片描述
③判断shift是否为5’b10010,是则拉高z

代码如下:

module check_num_shift
(
	input		clk,
	input		rst_n,
	input		x,
	output wire	z
);

reg [4:0]	shift;

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		shift <= 0;
	else
		shift <= {shift[3:0],x};
end

assign z = (shift == 5'b10010)?1:0;

endmodule

测试代码:

//时间精度
`timescale 1 ns/ 1 ns
//测试名称
module tb_check_num_shift();
//输入用reg
reg	clk;
reg	rst_n;
reg	x;
//输出用wire
wire	z;
//生成时钟
parameter	CYCLE = 20;
initial begin
	clk = 0;
	forever
	#(CYCLE/2)
	clk = ~clk;
end
//复位一次再拉高等待异步复位
initial begin
	rst_n = 1;
	#2;
	rst_n = 0;
	#2;
	rst_n = 1;
end
//待测模块例化
check_num_shift  u1
(
	.clk	(clk),
	.rst_n	(rst_n),
	.x	(x),
	.z	(z)
);
//x初始化
initial begin
	x = 0;
end
//x输入设定
always @ (posedge clk)begin
	x = {$random}%2;
end

endmodule

仿真结果:

在这里插入图片描述

  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
序列检测器是一种电路,用于检测输入信号序列是否符合某种特定的模式。在Verilog HDL语言中,可以使用状态机来实现序列检测器。以下是一个简单的序列检测器Verilog HDL代码示例: ```verilog module sequence_detector( input clk, // 时钟信号 input reset, // 复位信号 input data, // 输入数据信号 output reg match // 匹配信号 ); // 定义状态 typedef enum logic [1:0] { STATE_IDLE, // 空闲状态 STATE_S1, // 状态1 STATE_S2, // 状态2 STATE_S3 // 状态3 } state_t; // 定义状态转移表 parameter [3:0] TRANS_TABLE [0:3] = '{4'b0000, 4'b0001, 4'b0010, 4'b0100}; // 定义当前状态变量和下一个状态变量 reg [1:0] state, next_state; // 初始化状态为IDLE initial begin state = STATE_IDLE; end // 定义状态机逻辑 always @ (posedge clk, posedge reset) begin if (reset) begin state <= STATE_IDLE; end else begin state <= next_state; end end // 定义状态转移逻辑 always @ (*) begin case (state) STATE_IDLE: begin if (data) begin next_state = STATE_S1; end else begin next_state = STATE_IDLE; end end STATE_S1: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S2; end end STATE_S2: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S3; end end STATE_S3: begin if (!data) begin next_state = STATE_IDLE; match = 1; end else begin next_state = STATE_S3; end end endcase end endmodule ``` 这个序列检测器可以检测输入数据信号是否符合“1101”这个模式。输入数据信号通过data端口输入,匹配结果通过match端口输出。当输入数据信号符合“1101”这个模式时,match信号会被置为1。如果输入数据信号不符合模式,match信号会保持为0。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值