[verilog]一个非常耿直的BCD to 7-segement-display

真值表(W-Z是输入,A-DP是输出,其中DP是小数点):
WZ是输入,ADP是输出,DP是小数点
在vivado中的代码(没第一行):

module 7segement(input a,b,c,d, output A,B,C,D,E,F,G,DP,i);
assign A=(c&~d)|(b&c)|(~a&c)|(a&~d)|(~b&~d)|(~a&b&d)|(a&~b&~c);
assign B=(~a&~b)|(~b&~d)|(~a&~c&~d)|(~a&c&d)|(a&~c&d);
assign C=(~a&b)|(a&~b)|(~c&d)|(~b&~c)|(~b&d);
assign D=(~b&~c&~d)|(~b&c&d)|(~a&~b&c)|(a&~c&~d)|(b&~c&d)|(b&c&~d);
assign E=(c&~d)|(a&b)|(~b&~d)|(a&c);
assign F=(~c&~d)|(a&~b)|(a&c)|(b&~d)|(~a&b&~c);
assign G=(c&~d)|(a&~b)|(a&d)|(~b&c)|(~a&b&~c);
assign DP=1;
assign i=1;
endmodule

需要加个i是为了连接。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值