FPGA(一)---二选一多路器及quartus基本操作

本文详细介绍了FPGA开发流程,从创建Verilog HDL模块到使用Quartus进行仿真和引脚分配。在仿真过程中,发现信号存在延迟和多余冲激。接着,通过引脚分配和下载程序到开发板验证功能。当按键key_in被按下,LED显示与signal_a状态同步;松开时,显示signal_b状态。最后,通过修改tb文件并在ModelSim中进行RTL仿真和波形调试,以便优化设计。
摘要由CSDN通过智能技术生成

一、FPGA开发流程

在这里插入图片描述

二、创建模块

打开Quartus --》create new project --》new file,选择Verilog HDL file–》写模块

模块一:

module led_test(a,b,key_in,led_out);

input a;  //输入端口
input b;
input key_in;

output led_out;  //输出端口

//当key_in ==0, led_out = a
assign led_out = (key_in == 0)? a : b;//assign是赋值
endmodule

模块二:

`timescale 1ns/1ps  //一撇是键盘左上角那个
                    //时间单位和仿真精度
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值