自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(54)
  • 收藏
  • 关注

原创 【FPGA项目】System Generator算法板级验证-快速搭建外围测试电路

对System Generator算法进行板级验证,需要快速搭建算法外围测试电路。通过vio控制和串口助手,可以将需要处理的数据提前存入FPGA的ram中,再控制算法运行,将结果通过串口输出。

2024-06-27 16:41:39 1153 3

原创 【FPGA项目】bin文件ram存取回环测试

使用赛灵思FPGA搭建bin文件存储系统,使用matlab生成bin文件,并通过串口将bin文件存入到FPGA的ram中,再通过串口将ram中的数据读取出来。

2024-06-13 23:11:42 888 2

原创 【全网首发】【Python】Python控制parrot ARDrone 2.0无人机

【全网首发】使用Python控制parrot ARDrone 2.0无人机,文章讲解开发环境的配置、示例代码的讲解和重要代码的展示。

2023-11-10 22:16:30 3882 28

原创 【FPGA零基础学习之旅#17】搭建串口收发与储存双口RAM系统

搭建串口收发与储存双口RAM系统:FPGA将串口发送的数据存储在双口RAM的一段连续空间中。当需要时,按下按键S0,则FPGA将RAM中存储的数据通过串口发送出去;再次按下S0,则停止数据发送。

2023-10-24 14:36:29 1014 19

原创 【FPGA零基础学习之旅#16】嵌入式块RAM-双口ram的使用

讲解嵌入式块RAM-双口ram的使用,详细讲解了ram的ip核创建、仿真和结果分析。

2023-10-21 14:01:08 1082

原创 【FPGA零基础学习之旅#15】串口接收模块设计与验证(工业环境)

讲解了工业环境下串口接收模块的设计思路与验证,使用issp调试工具完成了板级验证,并将接收到的hex格式数据显示到数码管上。

2023-10-18 23:34:36 541 6

原创 【FPGA零基础学习之旅#14】串口发送字符串

讲解使用串口发送模块发送字符串的方法,并将其封装为一个简单的模块;使用发送字符串的思路,讲解一个发送数字字符的小案例。

2023-10-07 20:34:40 2130 21

原创 【FPGA零基础学习之旅#13】串口发送模块设计与验证

文章包含串口发送模块(可直接使用),讲解串口发送时序和串口发送模块的电路设计;包含按键控制串口发送数据的案例:通过issp调试数据,数码管显示调试数据,通过按键可发送该数据给电脑。

2023-09-10 14:37:24 1301 28

原创 【FPGA零基础学习之旅#12】三线制数码管驱动(74HC595)串行移位寄存器驱动

驱动74HC595芯片来控制三线制数码管显示数据,讲解了74HC595芯片的时序图、级联电路和驱动代码,并使用ISSP调试。使用按键控制数码管显示不同数据内容。

2023-09-03 22:52:03 1833 25

原创 【FPGA零基础学习之旅#11】数码管动态扫描

讲解数码管显示驱动模块(含低功耗设计),包含电路设计、ISSP调试工具的使用。分别使用AV4开发板和Spirit_V2开发板结合数码管驱动模块完成了简单的设计。

2023-08-27 23:49:07 3784 26

原创 【FPGA零基础学习之旅#10】按键消抖模块设计与验证(一段式状态机实现)

按键消抖模块的实现,模块代码可直接使用;使用$random随机函数编写测试激励文件,编写了仿真模型key_model的代码。

2023-08-12 20:46:35 1004 14

原创 【Python数据分析】Python常用内置函数(二)

讲解Python中的基本内置函数:input()、print()、range()、zip()、map()、reduce()、filter()函数。

2023-07-30 17:32:43 754 10

原创 【Python数据分析】Python常用内置函数(一)

讲解Python中类型转换、最大/小值、元素数量与求和、排序和逆序的内置函数。

2023-07-29 21:40:55 1174 8

原创 【Python数据分析】Python基本数据类型

讲解Python的基本数据类型和常用运算符。

2023-07-29 15:49:19 747 9

原创 【Python数据分析】Python基础知识篇

讲解Python编程规范、pip基本用法、库与对象的导入使用。

2023-07-23 16:01:51 812 9

原创 【Python】串口通信-与FPGA、蓝牙模块实现串口通信(Python+FPGA)

Python与FPGA、蓝牙BLE模块实现串口通信,主要使用USB转TTL模块实现转接。

2023-07-22 18:10:08 2996 15

原创 【FPGA】串口通信讲解-状态机判断数据值

讲解串口通信基础知识点、FPGA异步信号处理、串口收发模块和状态机判断数据值并控制LED灯。

2023-07-22 10:25:25 1025 9

原创 【Python】PyCharm中调用另一个文件的函数或类

讲解如何在PyCharm中调用另一个文件中的函数或类,同时以串口通信的例子对不同文件中的函数进行了调用。

2023-07-13 22:35:26 9773 4

原创 【Python】Python实现串口通信(Python+Stm32)

讲解Python实现串口通信的过程和代码,实现了发送字符串(utf-8)数据和十六进制(hex)数据的串口通信,并且与自制stm32核心板实现了串口通信,并在OLED屏上显示通信数据。文章中有完整项目的下载链接。

2023-07-09 19:12:41 28563 65

原创 【Python】Python基础知识总结

讲解Python的基础知识:缩进、函数、分支语句和循环语句等。在文中给出了一个通过Python SDK来控制大族机器人机械臂的例子。

2023-07-09 11:21:21 3324 10

原创 【Verilog HDL】FPGA-testbench基础知识

文章主要讲解了testbench相关的基础知识点,包括testbench文件的基本结构、各结构部分的作用以及编写规则。在补充语法中讲解了repeat和forever循环语句,以及仿真控制任务$stop的介绍。

2023-07-01 10:08:54 6439 24

原创 【FPGA零基础学习之旅#9】状态机基础知识

讲解了FPGA中状态机的基础知识点,通过基础案例来分析和掌握状态机,案例包括Hello状态机例程、状态机实现流水灯、使用状态机产生pwm信号

2023-06-26 13:43:28 840 5

原创 【FPGA零基础学习之旅#8】阻塞赋值与非阻塞赋值讲解

文章从基础内容开始讲解阻塞赋值与非阻塞赋值,编写相关的基础代码,分析与比较阻塞赋值与非阻塞赋值的区别与联系。讲解两种赋值方式的特点以及使用原则。

2023-06-16 11:57:12 2475 15

原创 【FPGA零基础学习之旅#7】BCD计数器设计

文章介绍了BCD码的基础知识,并使用Verilog HDL实现一个简单的BCD码计数器。对于BCD码计数器的级联,分别讲解了Verilog HDL和调用ip核的实现方法,同时记录了设计过程中遇到的问题以及解决办法。

2023-06-08 22:06:14 2846 15

原创 【FPGA零基础学习之旅#6】ip核基础知识之计数器

文章讲解了在Quartus Ⅱ 13.0中使用ip核的基础步骤。以LPM_COUNTER IP核为例,讲解了计数器ip核的基本知识,并在顶层中调用ip核计数器实现级联(顶层代码+测试激励文件代码)。

2023-06-04 17:18:52 1792 13

原创 【stm32开发】stm32+oled最小系统板资料(原理图、PCB、示例代码)【六一】

自制stm32+oled(含原理图、PCB、示例代码),详细介绍了stm32最小系统板的原理图、PCB布局布线规则以及完整的示例代码。实现了通过按键控制oled屏的显示效果。

2023-06-02 21:56:44 5378 25

原创 【FPGA零基础学习之旅#5】产生非等占空比信号

使用FPGA产生非等占空比的信号,并通过点亮LED的时间来可视化。文中还使用该编程思路列写了两个流水灯的小项目,其中展示了if-else语句和case语句在底层逻辑上的差异。

2023-05-14 21:02:28 907 19

原创 【全网首发开源教程】【Labview机器人仿真与控制】Labview与Solidworks多路支配关系-四足爬行机器人仿真与控制

【全网首发开源教程】【Labview机器人仿真与控制】Labview仿真控制四足爬行机器人。文章内容全面讲解了多路支配关系的实现,使用labview仿真并控制了四足爬行机器人,展示了核心vi代码和项目详细实现步骤。CSDN首发,开源不易。

2023-05-02 16:40:47 2807 19

原创 【Verilog HDL】FPGA-Verilog文件的基本结构

主要讲解Verilog文件的基本结构,包括模块定义、端口声明、内部资源声明和基础语法。文中使用案例代码为2-4译码器和二选一多路器。适合新手学习与入门。

2023-04-20 21:05:43 2484 6

原创 【FPGA-AC620V2】基于USB2.0高速数据传输模块的RGB摄像头实验-学习记录

本篇文章是基于小梅哥研发的项目,基于USB2.0高速数据传输模块的RGB摄像头实验。通过在AC620V2开发板上接入USB2.0模块和OV5640摄像头模块,在电脑上位机中显示出了摄像头的实时采集。(学习记录)

2023-04-12 08:51:46 1065 3

原创 【FPGA-Spirit_V2】基于FPGA的循迹小车-小精灵V2开发板

基于FPGA的循迹小车,控制核心板为Spirit_V2开发板。文章介绍了硬件的搭建、代码的编写以及结果分析。完整的硬件购买链接也在文中。项目包括顶层模块、LCD模块和PWM模块。编程语言:Verilog HDL。

2023-04-02 20:08:43 2840 16

原创 【FPGA-Spirit_V2】小精灵V2开发板初使用

该系列文章只是作为学习记录,并无其余用途。所发文章内容是经过自己本身操作和记录整理得来。本篇文章主要记录小精灵V2(Spirit_V2)开发板初使用过程。EP4CE6部位对应参数管脚数144个I/O管脚电压3.3V内核电压1.2V配置芯片EPCS4时钟源50M外围器件:①按键模块②发光二极管(LED)模块③有源蜂鸣器④六位数码管-共阴极数码管⑤12864/1602液晶接口其余扩展接口。

2023-03-15 09:03:31 1582 11

原创 【Labview-3D虚拟平台】Labview与Solidworks联合仿真(保姆级)(下)在Labview中使用Solidworks的3D模型——装配体、父级与子级

(保姆级)【Labview-3D虚拟平台】在labview中实现对机械臂的仿真与控制。详细讲解父级与子级的关系和影响,以及将整个solidworks装配体导入labview并实现控制的具体步骤和过程。

2023-03-06 10:22:19 3144 29

原创 【Labview-3D虚拟平台】Labview与Solidworks联合仿真(保姆级)(中)在Labview中使用Solidworks的3D模型——3D模型的变换操作

(保姆级)Labview与Solidworks联合仿真(二)零件模型的变换操作:三维对象的缩放、旋转和平移等;无法实现三维对象的多轴旋转;补充视角移动的操作方法。【Labview-3D虚拟平台】

2023-03-03 17:01:48 3447 10

原创 【Labview-3D虚拟平台】Labview与Solidworks联合仿真(保姆级)(上)在Labview中使用Solidworks的3D模型——零件模型的导入

(保姆级)Labview与Solidworks联合仿真(一)在Labview中使用Solidworks的3D模型——零件模型的导入。【Labview-3D虚拟平台】学会零件的导入和基础的显示界面操作。

2023-03-01 13:49:29 4951 10

原创 【FPGA零基础学习之旅#4】定时器设计与蜂鸣器驱动

使用Verilog语句设计定时器并驱动FPGA板载蜂鸣器发出声音;介绍了D触发器对信号的延迟妙用;设计了简单的蜂鸣器电子琴。

2023-02-15 15:54:51 454 8

原创 【FPGA零基础学习之旅#3】时序逻辑电路设计-计数器设计和闪烁LED灯

实现AC620开发板的板载LED灯每500ms翻转一次电平,扩展学习实现LED流水灯。实现基础的25位计数器,以此来判断电平的翻转时间。在前仿真时使用了参数化设计,并分析了由布局布线而产生的中间态,以及在后仿真中的中间态情况。

2023-02-13 15:52:00 1010 6

原创 【Labview机器视觉】- USB摄像头识别和解码二维码 - 学习记录

【超级详细】基于Labview NI-VISION的视觉识别,使用USB摄像头识别和解码二维码,需要安装好对应的扩展。✨可以三连该文章之后私聊我领取完整代码或者NI-VISION扩展组件!

2023-02-12 17:32:37 2042 6

原创 【FPGA零基础学习之旅#2】“二选一多路器”简单实例

本篇文章主要记录“二选一多路器”的实现、仿真和烧录过程。其中,解决了在进行门级仿真时没有提示进行时序模型选择和仿真结果没有出现延迟的情况。是对二选一多路器实现的记录。仿真包括VWF波形、功能仿真和时序仿真。

2023-02-06 20:21:53 1431 15

原创 【Go语言0基础学习之旅#7】 Golang标识符基本知识

🎉本篇文章主要讲解Golang中标识符的基本知识点、使用注意事项、保留关键字和预定标识符。

2023-02-03 23:48:21 262 2

STM32基于RCT6和ZET6的串口通信例程代码工程文件

基于RCT6和ZET6的串口通信例程代码,例程是基于正点原子和三只蓝鲸的配套例程改编得来。可以使用串口通信来控制板载led的亮灭。资源中详细描述了例程的各项说明和使用方法。需要免费下载或者答疑的话请联系作者。作者:CSDN-小夏与酒

2024-02-02

【VS code开发PyQt5】VS code运行Qt Designer时图片不显示的解决方法

【VS code运行Qt Designer时图片不显示的解决方法】使用VS code开发PyQt5时,在Qt Designer的ui界面中添加了图片能显示,但是在VS code中运行main.py时却无法显示图片。 在本文中给出了该问题的详细解决方案。 作者:CSDN-小夏与酒

2023-11-05

【Python+Stm32串口通信】完整项目资料

【Python+Stm32串口通信】完整项目资料:包含Python的串口通信代码、stm32+oled最小系统板的原理图和PCB、stm32串口通信完整工程文件。Python串口通信为hex(十六进制)格式数据通信。需要代码详细介绍的话,可以在文章专栏【Python学习专栏】(专栏链接:https://blog.csdn.net/m0_56262476/category_12371947.html?spm=1001.2014.3001.5482)中搜索文章《【Python】Python实现串口通信(Python+Stm32)》进行学习!作者:CSDN—小夏与酒。

2023-07-09

stm32+oled最小系统板资料(原理图、PCB、示例代码)

stm32+oled最小系统板资料(原理图、PCB、示例代码工程文件),原理图和PCB包含嘉立创json文件和AD文件。关于板子的详细介绍可以参考我的博客专栏。 作者:CSDN-小夏与酒 说明:该压缩文件中包含核心板的原理图、PCB和示例代码。

2023-06-02

【全网首发开源资料-多路支配关系】Labview仿真控制四足爬行机器人

【全网首发开源资料-多路支配关系】 资源名称:labview仿真控制四足机器人运动 作者:CSDN-小夏与酒 介绍: 模型使用Solidworks建模的四足爬行机器人,建模文件中包括原始文件和wrl格式文件。仿真项目在vi项目文件中,直接点开main.vi即可使用。 说明: 关于使用Labiew仿真控制Solidworks模型的学习文章(保姆级)和资料,通过我的博客主页查找相关文章,或者搜索该专栏链接:https://blog.csdn.net/m0_56262476/category_12224840.html?spm=1001.2014.3001.5482 如遇到相关问题,直接联系我即可!

2023-04-30

Labview与Solidworks-机械臂的仿真与控制(包括“经典案例”和“自制案例”)

Labview与Solidworks-机械臂的仿真与控制(包括”经典案例:labview机械臂仿真控制“和”自制案例:机械臂控制程序(含SW源文件)“)。 关于Labview-3D虚拟平台(Labview导入Solidworks模型进行仿真与控制)零基础学习和程序的讲解,可以在CSDN中搜索我的”Labview-3D虚拟平台“专栏进行学习,专栏链接:https://blog.csdn.net/m0_56262476/category_12224840.html?spm=1001.2014.3001.5482 作者:小夏与酒

2023-03-05

APP Inventor的蓝牙扩展-BluetoothLE扩展组件

BluetoothLE扩展组件: APP Inventor的蓝牙额外扩展,有多种多样与蓝牙有关的功能,非常适合蓝牙APP的开发。 功能包括蓝牙连接、数据传输、Rssi获取和蓝牙列表显示等等。 导入: 下载该文件之后,在APP Inventor的组件面板中,从Extension部分导入该文件即可使用。

2023-02-26

树莓派Pico的闪存重置文件和硬件烧录程序

该资源为树莓派Pico的闪存重置文件和硬件烧录程序,经测试可以使用。使用方法参考官方指南或者在CSDN上进行搜索。

2023-02-26

Labview机器视觉-USB摄像头识别二维码-完整程序

Labview机器视觉-USB摄像头识别二维码-完整程序。基于NI-VISION的视觉识别,需要安装好对应的扩展。使用USB摄像头,在程序中实时识别和解码二维码。使用程序前注意先阅读read me.txt文件,选择好摄像头编号,便可在前面板中实时识别二维码。如果想要了解代码中每个vi的作用,可以在我的博客主页搜索《【Labview机器视觉】- USB摄像头识别和解码二维码 - 学习记录》该文章并学习,感谢您的支持和鼓励!

2023-02-12

Labview机器视觉-识别一维码(条形码)使用USB摄像头

在Labview中使用USB摄像头识别一维码(条形码),压缩包中包含机器视觉-读取USB摄像头、机器视觉-拍照并储存和机器视觉-读取一维码三个项目的vi文件。 属于简单的一维码识别,代码简单易懂。 NI-VISION是2021的版本,需要安装好NI-VAS21.0和NI-VDM21.0。 本项目属于对USB摄像头的简单使用和内容识别的初步入门。

2023-01-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除