FPGA学习笔记(一)——Quartus使用、多路选择器设计

        大家好,好久不见。这段时间一直在搞课题,所以没有更新。刚刚结束毕设开题,之前的研电赛也有了结果。开题和研电赛都拿了不错的成绩,我还是比较满意的(笑)。十一假期刚过,今天是周一,打起精神向新目标迈进吧~
        这次我想学的是FPGA,准备做一下课题的工程验证(通信方向),也打算学习一些其他方向的内容。我将会持续更新笔记,一方面是为了巩固学习成果,另一方面也是为了督促自己。话不多说,我们开始吧~    


一、学前准备

开发板:Altera Intel AC620

软件:Quartus 18.0

开发板是在淘宝小梅哥店铺买的,很多人应该都见过的,软件是通过其他途径安装的。


二、新建工程

先创建一个项目文件夹,之后在其中创建几个子文件夹,如下图所示

doc: 设计相关文档存放目录
img: 设计相关图片存放目录
rtl: verilog可综合代码
prj: 工程文件存放目录 其中ip文件夹存放quartus中生成的ip核文件
testbench: 对应的testbench存放目录

开始在Quartus中创建新的Project

1.创建工程。左上角File——New

2.路径选择。选择pri文件夹,输入Project名称

 3.工程类型选择。选择空工程就可以,模板工程用的很少,用于开发新器件,比如mux10就会用到一些工程模板。

 4.添加文件。如果之前有写好的设计文件,可以添加进来,我们现在没有。

 5.相关设置。器件选择:AC620开发板对应的是Cyclone IV E FPGA;引脚数量:256;速度等级:8;选择EP4CE10F17C8

Name指的是器件型号,区别在于CE后面的数字,这里的10表示的是10K大小的逻辑单元;Core Voltage是内核电压;LEs是逻辑单元;Total I/Os是可使用的管脚总数,GPIOs也是这个意思;Memory Bits是存储器容量;Embedded multiplier 9-bit elements是嵌入式硬件乘法器单元数量;PLLs是锁相环;Global Clocks是全局时钟。

6.仿真工具和语言选择。

7.点击Next——Finish。

创建Verilog HDL 文件 

 1.File——New

 

 2.保存文件。File——Save As  保存在rtl文件夹中。 


三、二选一多路选择器设计

原理

二选一多路选择器很简单,两个输入、一个输出,由电平来选择哪个输入作为输出。

代码

 

编译

  

 0 errors. 顺利运行,无错误。

查看编译报告

逻辑单元使用1个,寄存器0个,引脚4个(a,b,sel,out),虚拟引脚、存储器、乘法器和锁相环都是0个。

波形验证
1.File——New。

2.添加引脚。

 

 

 

 3.设置激励(0/1)。

将a、b、sel设置为1

4.设置时钟。

 

a——10ns,b——20ns,sel——40ns

5.开始仿真。

 

出错:没安装ModelSim

 

所以想要进行验证,必须要安装ModelSim,在下一篇笔记里我会详细说明ModelSim的安装方法,之后再继续完成波形仿真。


结语

如果您对我的文章很感兴趣,可以关注我~您的关注是我更新的动力,谢谢!

 

 

 

 

  • 5
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
FPGA自学笔记——设计与验证JMB FPGA(可编程逻辑门阵列)是一种可编程的硬件平台,可以实现各种数字电路的设计与验证。本文将简要介绍使用FPGA自学设计与验证JMB(低功耗、高效能、集成度高的多媒体芯片)的过程。 首先,我们需要了解JMB的功能和特性。JMB是一种面向多媒体应用的芯片,具备低功耗、高效能和高集成度的优势。我们需要详细研究JMB的硬件架构和内部模块,包括处理器核、存储器模块、图像和音频处理模块等。 接下来,我们可以使用FPGA开发板来设计和验证JMB。首先,我们需要熟悉FPGA设计工具,例如Vivado或Quartus等。这些工具提供了图形化界面和硬件描述语言(HDL)等设计方法。我们可以使用HDL编写JMB的功能模块,并将其综合为FPGA可执行的位流文件。 在设计完成后,我们需要验证JMB的功能和性能。我们可以使用仿真工具(例如ModelSim或ISE Simulator)来模拟JMB在不同情况下的行为。通过设计测试程序并运行仿真,我们可以验证JMB的各个模块是否正确地工作,是否满足设计要求。 在验证完成后,我们可以将位流文件下载到FPGA开发板中进行智能芯片的物理实现和测试。通过与外部设备的连接以及相关测试程序的运行,我们可以验证JMB在实际硬件中的功能和性能。 总结起来,学习FPGA设计与验证JMB,我们需要熟悉JMB的硬件架构和内部模块,并使用FPGA开发工具进行设计与验证。通过仿真和物理实现测试,我们可以验证JMB的功能和性能。这些过程需要理论知识和实践经验的结合,希望这些笔记能够给你提供一些参考和指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值