【Verilog设计—仿真实验】四位加法器的实现

编译器环境:Quartus Il 14.0(64-bit)

仿真环境:Quartus Il 14.0(64-bit)自带的Modelsim.exe

 什么是全加器?半加器又是什么?

   半加器: 加数、被加数为输入input,和数与进位为输出out;

   全加器: 加数、被加数与低位的进位数为输入input,和数与进位为输出out。

四位半加器

 

四位全加器

四位加法器的仿真实现(仿真环境:Quartus II)

 1、四位半加器的实现:

module add4(a,b,sum,cout);

    input [3:0] a,b;  //a、b 加数、被加数<--输入
    output [3:0] sum; //sum和数-->输出变量
    output cout;  //cout-->输出变量
    
    assign {cout,sum}=a+b; //a+b,再使用拼接运算符,将进位保存至cout,和数保存至sum
    
endmodule

2、 四位全加器的实现:

 
module adder_4(a,b,cin,cout,dout);  

	input [3:0] a,b;   //a,b为4位输入
	input cin;   //cin为进位输入
	
	output cout; 
	output [3:0] dout;

	assign {cout,dout} = a+b+cin;   //a+b+cin 加法操作
	//使用拼接运算符将cout、dout拼接,dout取结果中的低四位

endmodule

 

 

下面是四位全加器的仿真实现(四位半加器,同理):

 


 进行RTL仿真(也即Modelsim仿真)

 

手动输入修改a、b、c的值。


 

 

 

3、 四位半加法器的实现(与全加器的仿真实现步骤类似)

 

 

 

 

  • 23
    点赞
  • 163
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

夜半少年

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值