用verilog设计四位加法器

半加器

               半加器可以实现一位二进制的加法运算

               A,B为一位二进制输入,C为向高位的进位,S为本位的输出

              真值表为

             A                B             C          
             0               0             0          0
             0                1             0           1
             1                 0             0            1
              1                 1             1             0

C=A&B                          S=A^B(异或)

代码为 

module h_adder(A,B,S,C);
       input A,B;
       output S,C;
       assign S=A^B;
       assign C=A&B;
endmodule

一位全加器

            C:来自低位的进位,   Ci:向高位进位      S:当前位的输和

真值表
CABCiS
00000
00101
01001
01110
10001
10110
11010
11111

                   Ci=AB+BC+AC;           S=A^B^C         (^异或的意思)

代码为

                

module add_full(A,B,C,Ci,S);
           input A,B,C;
           output S,Ci;
           assign S=A^B^C;
           assign Ci=(A&B)|(B&C)|(A&C);
endmodule

一位全加器例化成四位全加器

                     假设A=A0A1A2A3,          B=B0B1B2B3

 由图可见,四位全加器有四个一位全加器构成,一位全加器构成底层模块,四位全加器调用每一位全加器构成顶层模块

 代码为

module add_full4(A,B,C,S);
       input [3:0] A,B;
       output [3:0] S;
       output [4:0] C;
       add_full u1(A[0],B[0],c[0],C[1],S[0]),
                u2(A[1],B[1],c[1],C[2],S[1]),
                u3(A[2],B[2],c[2],C[3],S[2]),
                u4(A[3],B[3],c[3],C[4],S[3]);
endmodule

例化的语法详细见 黄继业,潘松EDA技术使用教程Verilog 第六版P72,P73页

具体连线如图·所示

仿真图

  • 4
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值