verilog的非阻塞赋值、延拍和电路延时

reg d;
reg [1:0] out;
always@(posedge clk) begin   
	if(!rst_n)
		d   <= 'd0;
		out <= 'd0;
	else  begin 
        d   <= a + b;
        out <= d + c;
	end
end

在这里插入图片描述

1.时序电路使用非阻塞赋值,always块中的语句顺序无影响;
2.非阻塞赋值中d和out的新值在本次上升沿计算之后,下一次上升沿才会生效;
阻塞赋值会使信号变化立即生效
3.实际电路中,下一次上升沿值生效时会有一定触发器延时(上一拍采数时,往左边偏一点采值)。

reg d;
reg [1:0] out;
always@(posedge clk) begin   
	if(!rst_n)
		d   <= 'd0;
		out <= 'd0;
	else  begin 
        d   <= #2 a + b;
        out <= #2 d + c;
	end
end

在这里插入图片描述

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值