HDLBits Fsm hdlc

1、这个题一步到位,一次成功还是挺简单的理清思路,想好状态是怎么变化的就可以了。

2、值得注意的是error那个标志如果in不为0的话,会一直为1;

完整代码如下:

module top_module(
    input clk,
    input reset,    // Synchronous reset
    input in,
    output disc,
    output flag,
    output err);
    
    parameter ready=0,A=1,B=2,C=3,D=4,E=5,F=6,Dic=9,Flag=7,ERR=8;
    reg [3:0]state, next_state;
    always@(*)begin
        case(state)
            ready:next_state=in?A:ready;
            A:next_state=in?B:ready;
             B:next_state=in?C:ready;
             C:next_state=in?D:ready;
             D:next_state=in?E:ready;
             E:next_state=in?F:Dic;
             F:next_state=in?ERR:Flag;
             Dic:next_state=in?A:ready;
             Flag:next_state=in?A:ready;
             ERR:next_state=in?ERR:ready;          
        endcase
    end
    
    always@(posedge clk)begin
        if(reset)
            state<=ready;
        else
            state<=next_state;      
    end
    assign disc=(state==Dic);
	assign flag=(state==Flag);
    assign err=(state==ERR);
endmodule

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值