HDLBits Exams/2014 q3bfsm

1、这个题简单按照图写出来状态切换就可以了

完整代码如下:

module top_module (
    input clk,
    input reset,   // Synchronous reset
    input x,
    output z
);

    reg[2:0]state,next_state;
    parameter A=0,B=1,C=2,D=3,E=4;
    always@(*)begin
        case(state)
            A:next_state=x?B:A;
            B:next_state=x?E:B;
            C:next_state=x?B:C;
            D:next_state=x?C:B;
            E:next_state=x?E:D;
            default: next_state=A;
        endcase
        
    end
    always@(posedge clk )begin
        if(reset)
            state<=A;
        else
            state<=next_state;
    end
    
    always@(*)begin
        
        z=(state==D||state==E);
    end
    
endmodule

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值