STM32(基于HAL库)驱动0.96寸OLED屏幕(四脚且中英文皆可显示)

1 注意事项

注意:本文使用的芯片是“STM32F103C8T6”以及J -LINK下载,8MHZ晶振,不同的芯片,基本配置一样,只需更改一处,下文会说到

该程序使用模拟IIC,不是硬件IIC,只需将SCL,SDA对应引脚设为推挽输出即可,移植文件时需要修改为相应引脚即可

该程序在CubeMX下完成

该程序由中景园示例程序修改而得,修改过程简单,自己可以动手试一下
附上中景园的OLED的购买链接:0.96寸OLED
话不多说,直接开整

2 如何利用STM32单片机驱动12864液晶屏

2.1基本配置

本次使用CubeMX工具进行基本配置,如果是新手不知道如何配置的可以观看这个视频,讲的很详细:链接: 【小蜜蜂笔记】基于STM32CubeMX的嵌入式开发基础教程.
下面附几张我配置的图片
GPIO配置
时钟树的配置
生成文件配置
生成文件配置

2.2 0.96寸OLED端口含义

四脚定义

GND — 接地端口

VCC — 接3.3V电源端口

SCL — CLK时钟信号端口

SDA — MOSI数据端口

2.3 0.96寸OLED液晶屏引脚与单片机系统引脚的硬件连接

GND — GND

VCC — VCC

SCL — PA0

SDA — PA1

引脚可以随意改动,但需要在CubeMX上先配置好那个引脚为OutPut模式

2.4 0.96寸OLED液晶屏驱动程序

oled.c

#include "oled.h"
#include "stdlib.h"
#include "oledfont.h"
#include "main.h"

uint8_t OLED_GRAM[144][8];

//反显函数
void OLED_ColorTurn(uint8_t i)
{
   
	if(i==0)
		{
   
			OLED_WR_Byte(0xA6,OLED_CMD);//正常显示
		}
	if(i==1)
		{
   
			OLED_WR_Byte(0xA7,OLED_CMD);//反色显示
		}
}

//屏幕旋转180度
void OLED_DisplayTurn(uint8_t i)
{
   
	if(i==0)
		{
   
			OLED_WR_Byte(0xC8,OLED_CMD);//正常显示
			OLED_WR_Byte(0xA1,OLED_CMD);
		}
	if(i==1)
		{
   
			OLED_WR_Byte(0xC0,OLED_CMD);//反转显示
			OLED_WR_Byte(0xA0,OLED_CMD);
		}
}

//延时
void IIC_delay(void)
{
   
	uint8_t t=3;
	while(t--);
}

//起始信号
void I2C_Start(void)
{
   
	OLED_SDA_Set();
	OLED_SCL_Set();
	IIC_delay();
	OLED_SDA_Clr();
	IIC_delay();
	OLED_SCL_Clr();
	IIC_delay();
}

//结束信号
void I2C_Stop(void)
{
   
	OLED_SDA_Clr();
	OLED_SCL_Set();
	IIC_delay();
	OLED_SDA_Set();
}

//等待信号响应
void I2C_WaitAck(void) //测数据信号的电平
{
   
	OLED_SDA_Set();
	IIC_delay();
	OLED_SCL_Set();
	IIC_delay();
	OLED_SCL_Clr();
	IIC_delay();
}

//写入一个字节
void Send_Byte(uint8_t dat)
{
   
	uint8_t i;
	for(i=0;i<8;i++)
	{
   
		if(dat&0x80)//将dat的8位从最高位依次写入
		{
   
			OLED_SDA_Set();
    }
		else
		{
   
			OLED_SDA_Clr();
    }
		IIC_delay();
		OLED_SCL_Set();
		IIC_delay();
		OLED_SCL_Clr();//将时钟信号设置为低电平
		dat<<=1;
  }
}

//发送一个字节
//mode:数据/命令标志 0,表示命令;1,表示数据;
void OLED_WR_Byte(uint8_t dat,uint8_t mode)
{
   
	I2C_Start();
	Send_Byte(0x78);
	I2C_WaitAck();
	if(mode){
   Send_Byte(0x40);}
  else{
   Send_Byte(0x00);}
	I2C_WaitAck();
	Send_Byte(dat);
	I2C_WaitAck();
	I2C_Stop();
}

//开启OLED显示 
void OLED_DisPlay_On(void)
{
   
	OLED_WR_Byte(0x8D,OLED_CMD);//电荷泵使能
	OLED_WR_Byte(0x14,OLED_CMD);//开启电荷泵
	OLED_WR_Byte(0xAF,OLED_CMD);//点亮屏幕
}

//关闭OLED显示 
void OLED_DisPlay_Off(void)
{
   
	OLED_WR_Byte(0x8D,OLED_CMD);//电荷泵使能
	OLED_WR_Byte(0x10,OLED_CMD);//关闭电荷泵
	OLED_WR_Byte(0xAE,OLED_CMD);//关闭屏幕
}

//更新显存到OLED	
void OLED_Refresh(void)
{
   
	uint8_t i,n;
	for(i=0;i<8;i++)
	{
   
		OLED_WR_Byte(0xb0+i,OLED_CMD); //设置行起始地址
		OLED_WR_Byte(0x00,OLED_CMD);   //设置低列起始地址
		OLED_WR_Byte(0x10,OLED_CMD);   //设置高列起始地址
		I2C_Start();
		Send_Byte(0x78);
		I2C_WaitAck();
		Send_Byte(0x40);
		I2C_WaitAck();
		for(n=0;n<128;n++)
		{
   
			Send_Byte(OLED_GRAM[n][i]);
			I2C_WaitAck();
		}
		I2C_Stop();
  }
}
//清屏函数
void OLED_Clear(void)
{
   
	uint8_t i,n;
	for(i=0;i<8;i++)
	{
   
	   for(n=0;n<128;n++)
			{
   
			 OLED_GRAM[n][i]=0;//清除所有数据
			}
  }
	OLED_Refresh();//更新显示
}

//画点 
//x:0~127
//y:0~63
//t:1 填充 0,清空	
void OLED_DrawPoint(uint8_t x,uint8_t y,uint8_t t)
{
   
	uint8_t i,m,n;
	i=y/8;
	m=y%8;
	n=1<<m;
	if(t){
   OLED_GRAM[x][i]|=n;}
	else
	{
   
		OLED_GRAM[x][i]=~OLED_GRAM[x][i];
		OLED_GRAM[x][i]|=n;
		OLED_GRAM[x][i]=~OLED_GRAM[x][i];
	}
}

//画线
//x1,y1:起点坐标
//x2,y2:结束坐标
void OLED_DrawLine(uint8_t x1,uint8_t y1,uint8_t x2,uint8_t y2,uint8_t mode)
{
   
	uint16_t t; 
	int xerr=0,yerr=0,delta_x,delta_y,distance;
	int incx,incy,uRow,uCol;
	delta_x=x2-x1; //计算坐标增量 
	delta_y=y2-y1;
	uRow=x1;//画线起点坐标
	uCol=y1;
	if(delta_x>0)incx=1; //设置单步方向 
	else if (delta_x==0)incx=0;//垂直线 
	else {
   incx=-1;delta_x=-delta_x;}
	if(delta_y>0)incy=1;
	else if (delta_y==0)incy=0;//水平线 
	else {
   incy=-1;delta_y=-delta_x;}
	if(delta_x>delta_y)distance=delta_x; //选取基本增量坐标轴 
	else distance=delta_y;
	for(t=0;t<distance+1;t++)
	{
   
		OLED_DrawPoint(uRow,uCol,mode);//画点
		xerr+=delta_x;
		yerr+=delta_y;
		if(xerr>distance)
		{
   
			xerr-=distance;
			uRow+=incx;
		}
		if(yerr>distance)
		{
   
			yerr-=distance;
			uCol+=incy;
		}
	}
}
//x,y:圆心坐标
//r:圆的半径
void OLED_DrawCircle(uint8_t x,uint8_t y,uint8_t r)
{
   
	int a, b,num;
    a = 0;
    b = r;
    while(2 * b * b >= r * r)      
    {
   
        OLED_DrawPoint(x + a, y - b,1);
        OLED_DrawPoint(x - a, y - b,1);
        OLED_DrawPoint(x - a, y + b,1);
        OLED_DrawPoint(x + a, y + b,1);
 
        OLED_DrawPoint(x + b, y + a,1);
        OLED_DrawPoint(x + b, y - a,1);
        OLED_DrawPoint(x - b, y - a,1);
        OLED_DrawPoint(x - b, y + a,1);
        
        a++;
        num = (a * a + b * b) - r*r;//计算画的点离圆心的距离
        if(num > 0)
        {
   
            b--;
            a--;
        }
    }
}



//在指定位置显示一个字符,包括部分字符
//x:0~127
//y:0~63
//size1:选择字体 6x8/6x12/8x16/12x24
//mode:0,反色显示;1,正常显示
void OLED_ShowChar(uint8_t x,uint8_t y,uint8_t chr,uint8_t size1,uint8_t mode)
{
   
	uint8_t i,m,temp,size2,chr1;
	uint8_t x0=x,y0=y;
	if(size1==8)size2=6;
	else size2=(size1/8+((size1%8)?1:0))*(size1/2);  //得到字体一个字符对应点阵集所占的字节数
	chr1=chr-' ';  //计算偏移后的值
	for(i=0;i<size2;i++)
	{
   
		if(size1==8)
			  {
   temp=asc2_0806[chr1][i];} //调用0806字体
		else if(size1==12)
        {
   temp=asc2_1206[chr1][i];} //调用1206字体
		else if(size1==16)
        {
   temp=asc2_1608[chr1][i];} //调用1608字体
		else if(size1==24)
        {
   temp=asc2_2412[chr1][i];} //调用2412字体
		else return;
		for(m=0;m<8;m++)
		{
   
			if(temp&0x01)OLED_DrawPoint(x,y,mode);
			else OLED_DrawPoint(x,y,!mode);
			temp>>=1;
			y++;
		}
		x++;
		if((size1!=8)&&((x-x0)==size1/2))
		{
   x=x0;y0=y0+8;}
		y=y0;
  }
}


//显示字符串
//x,y:起点坐标  
//size1:字体大小 
//*chr:字符串起始地址 
//mode:0,反色显示;1,正常显示
void OLED_ShowString(uint8_t x,uint8_t y,uint8_t *chr,uint8_t size1,uint8_t mode)
{
   
	while((*chr>=' ')&&(*chr<='~'))//判断是不是非法字符!
	{
   
		OLED_ShowChar(x,y,*chr,size1,mode);
		if(size1==8)x+=6;
		else x+=size1/2;
		chr++;
  }
}

//m^n
uint32_t OLED_Pow(uint8_t m,uint8_t n)
{
   
	uint32_t result=1;
	while(n--)
	{
   
	  result*=m;
	}
	return result;
}

//显示数字
//x,y :起点坐标
//num :要显示的数字
//len :数字的位数
//size:字体大小
//mode:0,反色显示;1,正常显示
void OLED_ShowNum(uint8_t x,uint8_t y,uint32_t num,uint8_t len,uint8_t size1,uint8_t mode)
{
   
	uint8_t t,temp,m=0;
	if(size1==8)m=2;
	for(t=0;t<len;t++)
	{
   
		temp=(num/OLED_Pow(10,len-t-1))%10;
			if(temp==0)
			{
   
				OLED_ShowChar(x+(size1/2+m)*t,y,'0',size1,mode);
      }
			else 
			{
   
			  OLED_ShowChar(x+(size1/2+m)*t,y,temp+'0',size1,mode);
			}
  }
}

//显示汉字
//x,y:起点坐标
//num:汉字对应的序号
//mode:0,反色显示;1,正常显示
void OLED_ShowChinese(uint8_t x,uint8_t y,uint8_t num,uint8_t size1,uint8_t mode)
{
   
	uint8_t m,temp;
	uint8_t x0=x,y0=y;
	uint16_t i,size3=(size1/8+((size1%8)?1:0))*size1;  //得到字体一个字符对应点阵集所占的字节数
	for(i=0;i<size3;i++)
	{
   
		if(size1==16)
				{
   temp=Hzk1[num][i];}//调用16*16字体
		else if(size1==24)
				{
   temp=Hzk2[num][i];}//调用24*24字体
		else if(size1==32)       
				{
   temp=Hzk3[num][i];}//调用32*32字体
		else if(size1==64)
				{
   temp=Hzk4[num][i];}//调用64*64字体
		else return;
		for(m=0;m<8;m++)
		{
   
			if(temp&0x01)OLED_DrawPoint(x,y,mode);
			else OLED_DrawPoint(x,y,!mode);
			temp>>=1;
			y++;
		}
		x++;
		if((x-x0)==size1)
		{
   x=x0;y0=y0+8;}
		y=y0;
	}
}

//num 显示汉字的个数
//space 每一遍显示的间隔
//mode:0,反色显示;1,正常显示
void OLED_ScrollDisplay(uint8_t num,uint8_t space,uint8_t mode)
{
   
	uint8_t i,n,t=0,m=0,r;
	while(1)
	{
   
		if(m==0)
		{
   
	    OLED_ShowChinese(128,24,t,16,mode); //写入一个汉字保存在OLED_GRAM[][]数组中
			t++;
		}
		if(t==num)
			{
   
				for(r=0;r<16*space;r++)      //显示间隔
				 {
   
					for(i=1;i<144;i++)
						{
   
							for(n=0;n<8;n++)
							{
   
								OLED_GRAM[i-1][n]=OLED_GRAM[i][n];
							}
						}
           OLED_Refresh();
				 }
        t=0;
      }
		m++;
		if(m==16){
   m=0;}
		for(i=1;i<144;i++)   //实现左移
		{
   
			for(n=0;n<8;n++)
			{
   
				OLED_GRAM[i-1][n]=OLED_GRAM[i][n];
			}
		}
		OLED_Refresh();
	}
}

//x,y:起点坐标
//sizex,sizey,图片长宽
//BMP[]:要写入的图片数组
//mode:0,反色显示;1,正常显示
void OLED_ShowPicture(uint8_t x,uint8_t y,uint8_t sizex,uint8_t sizey,uint8_t BMP[],uint8_t mode)
{
   
	uint16_t j=0;
	uint8_t i,n,temp,m;
	uint8_t x0=x,y0=y;
	sizey=sizey/8+((sizey%8)?1:0);
	for(n=0;n<sizey;n++)
	{
   
		 for(i=0;i<sizex;i++)
		 {
   
				temp=BMP[j];
				j++;
				for(m=0;m<8;m++)
				{
   
					if(temp&0x01)OLED_DrawPoint(x,y,mode);
					else OLED_DrawPoint(x,y,!mode);
					temp>>=1;
					y++;
				}
				x++;
				if((x-x0)==sizex)
				{
   
					x=x0;
					y0=y0+8;
				}
				y=y0;
     }
	 }
}
//OLED的初始化
void OLED_Init(void)
{
   

	
	OLED_RES_Clr();
	HAL_Delay(200);
	OLED_RES_Set();
	
	OLED_WR_Byte(0xAE,OLED_CMD);//--turn off oled panel
	OLED_WR_Byte(0x00,OLED_CMD);//---set low column address
	OLED_WR_Byte(0x10,OLED_CMD);//---set high column address
	OLED_WR_Byte(0x40,OLED_CMD);//--set start line address  Set Mapping RAM Display Start Line (0x00~0x3F)
	OLED_WR_Byte(0x81,OLED_CMD);//--set contrast control register
	OLED_WR_Byte(0xCF,OLED_CMD);// Set SEG Output Current Brightness
	OLED_WR_Byte(0xA1,OLED_CMD);//--Set SEG/Column Mapping     0xa0左右反置 0xa1正常
	OLED_WR_Byte(0xC8,OLED_CMD);//Set COM/Row Scan Direction   0xc0上下反置 0xc8正常
	OLED_WR_Byte(0xA6,OLED_CMD);//--set normal display
	OLED_WR_Byte(0xA8,OLED_CMD);//--set multiplex ratio(1 to 64)
	OLED_WR_Byte(0x3f,OLED_CMD);//--1/64 duty
	OLED_WR_Byte(0xD3,OLED_CMD);//-set display offset	Shift Mapping RAM Counter (0x00~0x3F)
	OLED_WR_Byte(0x00,OLED_CMD);//-not offset
	OLED_WR_Byte(0xd5,OLED_CMD);//--set display clock divide ratio/oscillator frequency
	OLED_WR_Byte(0x80,OLED_CMD);//--set divide ratio, Set Clock as 100 Frames/Sec
	OLED_WR_Byte(0xD9,OLED_CMD);//--set pre-charge period
	OLED_WR_Byte(0xF1,OLED_CMD);//Set Pre-Charge as 15 Clocks & Discharge as 1 Clock
	OLED_WR_Byte(0xDA,OLED_CMD);//--set com pins hardware configuration
	OLED_WR_Byte(0x12,OLED_CMD);
	OLED_WR_Byte(0xDB,OLED_CMD);//--set vcomh
	OLED_WR_Byte(0x30,OLED_CMD);//Set VCOM Deselect Level
	OLED_WR_Byte(0x20,OLED_CMD);//-Set Page Addressing Mode (0x00/0x01/0x02)
	OLED_WR_Byte(0x02,OLED_CMD);//
	OLED_WR_Byte(0x8D,OLED_CMD);//--set Charge Pump enable/disable
	OLED_WR_Byte(0x14,OLED_CMD);//--set(0x10) disable
	OLED_Clear();
	OLED_WR_Byte(0xAF,OLED_CMD);
}


oled.h
需要改为自己设的引脚

#ifndef __OLED_H
#define __OLED_H 


#include "stdlib.h"	
#include "main.h"
//-----------------OLED端口定义---------------- 

#define OLED_SCL_Clr() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_0, GPIO_PIN_RESET)//SCL
#define OLED_SCL_Set() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_0, GPIO_PIN_SET)

#define OLED_SDA_Clr() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_1, GPIO_PIN_RESET)//DIN
#define OLED_SDA_Set() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_1, GPIO_PIN_SET)

#define OLED_RES_Clr() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_2, GPIO_PIN_RESET)//RES
#define OLED_RES_Set() HAL_GPIO_WritePin(GPIOA, GPIO_PIN_2, GPIO_PIN_SET)


#define OLED_CMD  0	//写命令
#define OLED_DATA 1	//写数据

void OLED_ClearPoint(uint8_t x,uint8_t y);
void OLED_ColorTurn(uint8_t i);
void OLED_DisplayTurn(uint8_t i);
void I2C_Start(void);
void I2C_Stop(void);
void I2C_WaitAck(void);
void Send_Byte(uint8_t dat);
void OLED_WR_Byte(uint8_t dat,uint8_t mode);
void OLED_DisPlay_On(void);
void OLED_DisPlay_Off(void);
void OLED_Refresh(void);
void OLED_Clear(void);
void OLED_DrawPoint(uint8_t x,uint8_t y,uint8_t t);
void OLED_DrawLine(uint8_t x1,uint8_t y1,uint8_t x2,uint8_t y2,uint8_t mode);
void OLED_DrawCircle(uint8_t x,uint8_t y,uint8_t r);
void OLED_ShowChar(uint8_t x,uint8_t y,uint8_t chr,uint8_t size1,uint8_t mode);
void OLED_ShowChar6x8(uint8_t x,uint8_t y,uint8_t chr,uint8_t mode);
void OLED_ShowString(uint8_t x,uint8_t y,uint8_t *chr,uint8_t size1,uint8_t mode);
void OLED_ShowNum(uint8_t x,uint8_t y,uint32_t num,uint8_t len,uint8_t size1,uint8_t mode);
void OLED_ShowChinese(uint8_t x,uint8_t y,uint8_t num,uint8_t size1,uint8_t mode);
void OLED_ScrollDisplay(uint8_t num,uint8_t space,uint8_t mode);
void OLED_ShowPicture(uint8_t x,uint8_t y,uint8_t sizex,uint8_t sizey,uint8_t BMP[],uint8_t mode);
void OLED_Init(void);

#endif


oledfont.h

#ifndef __OLEDFONT_H
#define __OLEDFONT_H
const unsigned char asc2_0806[][6] =
{
   
{
   0x00, 0x00, 0x00, 0x00, 0x00, 0x00},// sp
{
   0x00, 0x00, 0x00, 0x2f, 0x00, 0x00},// !
{
   0x00, 0x00, 0x07, 0x00, 0x07, 0x00},// "
{
   0x00, 0x14, 0x7f, 0x14, 0x7f, 0x14},// #
{
   0x00, 0x24, 0x2a, 0x7f, 0x2a, 0x12},// $
{
   0x00, 0x62, 0x64, 0x08, 0x13, 0x23},// %
{
   0x00, 0x36, 0x49, 0x55, 0x22, 0x50},// &
{
   0x00, 0x00, 0x05, 0x03, 0x00, 0x00},// '
{
   0x00, 0x00, 0x1c, 0x22, 0x41, 0x00},// (
{
   0x00, 0x00, 0x41, 0x22, 0x1c, 0x00},// )
{
   0x00, 0x14, 0x08, 0x3E, 0x08, 0x14},// *
{
   0x00, 0x08, 0x08, 0x3E, 0x08, 0x08},// +
{
   0x00, 0x00, 0x00, 0xA0, 0x60, 0x00},// ,
{
   0x00, 0x08, 0x08, 0x08, 0x08, 0x08},// -
{
   0x00, 0x00, 0x60, 0x60, 0x00, 0x00},// .
{
   0x00, 0x20, 0x10, 0x08, 0x04, 0x02},// /
{
   0x00, 0x3E, 0x51, 0x49, 0x45, 0x3E},// 0
{
   0x00, 0x00, 0x42, 0x7F, 0x40, 0x00},// 1
{
   0x00, 0x42, 0x61, 0x51, 0x49, 0x46},// 2
{
   0x00, 0x21, 0x41, 0x45, 0x4B, 0x31},// 3
{
   0x00, 0x18, 0x14, 0x12, 0x7F, 0x10},// 4
{
   0x00, 0x27, 0x45, 0x45, 0x45, 0x39},// 5
{
   0x00, 0x3C, 0x4A, 0x49, 0x49, 0x30},// 6
{
   0x00, 0x01, 0x71, 0x09, 0x05, 0x03},// 7
{
   0x00, 0x36, 0x49, 0x49, 0x49, 0x36},// 8
{
   0x00, 0x06, 0x49, 0x49, 0x29, 0x1E},// 9
{
   0x00, 0x00, 0x36, 0x36, 0x00, 0x00},// :
{
   0x00, 0x00, 0x56, 0x36, 0x00, 0x00},// ;
{
   0x00, 0x08, 0x14, 0x22, 0x41, 0x00},// <
{
   0x00, 0x14, 0x14, 0x14, 0x14, 0x14},// =
{
   0x00, 0x00, 0x41, 0x22, 0x14, 0x08},// >
{
   0x00, 0x02, 0x01, 0x51, 0x09, 0x06},// ?
{
   0x00, 0x32, 0x49, 0x59, 0x51, 0x3E},// @
{
   0x00, 0x7C, 0x12, 0x11, 0x12, 0x7C},// A
{
   0x00, 0x7F, 0x49, 0x49, 0x49, 0x36},// B
{
   0x00, 0x3E, 0x41, 0x41, 0x41, 0x22},// C
{
   0x00, 0x7F, 0x41, 0x41, 0x22, 0x1C},// D
{
   0x00, 0x7F, 0x49, 0x49, 0x49, 0x41},// E
{
   0x00, 0x7F, 0x09, 0x09, 0x09, 0x01},// F
{
   0x00, 0x3E, 0x41, 0x49, 0x49, 0x7A},// G
{
   0x00, 0x7F, 0x08, 0x08, 0x08, 0x7F},// H
{
   0x00, 0x00, 0x41, 0x7F, 0x41, 0x00},// I
{
   0x00, 0x20, 0x40, 0x41, 0x3F, 0x01},// J
{
   0x00, 0x7F, 0x08, 0x14, 0x22, 0x41},// K
{
   0x00, 0x7F, 0x40, 0x40, 0x40, 0x40},// L
{
   0x00, 0x7F, 0x02, 0x0C, 0x02, 0x7F},// M
{
   0x00, 0x7F, 0x04, 0x08, 0x10, 0x7F},// N
{
   0x00, 0x3E, 0x41, 0x41, 0x41, 0x3E},// O
{
   0x00, 0x7F, 0x09, 0x09, 0x09, 0x06},// P
{
   0x00, 0x3E, 0x41, 0x51, 0x21, 0x5E},// Q
{
   0x00, 0x7F, 0x09, 0x19, 0x29, 0x46},// R
{
   0x00, 0x46, 0x49, 0x49, 0x49, 0x31},// S
{
   0x00, 0x01, 0x01, 0x7F, 0x01, 0x01},// T
{
   0x00, 0x3F, 0x40, 0x40, 0x40, 0x3F},// U
{
   0x00, 0x1F, 0x20, 0x40, 0x20, 0x1F},// V
{
   0x00, 0x3F, 0x40, 0x38, 0x40, 0x3F},// W
{
   0x00, 0x63, 0x14, 0x08, 0x14, 0x63},// X
{
   0x00, 0x07, 0x08, 0x70, 0x08, 0x07},// Y
{
   0x00, 0x61, 0x51, 0x49, 0x45, 0x43},// Z
{
   0x00, 0x00, 0x7F, 0x41, 0x41, 0x00},// [
{
   0x00, 0x55, 0x2A, 0x55, 0x2A, 0x55},// 55
{
   0x00, 0x00, 0x41, 0x41, 0x7F, 0x00},// ]
{
   0x00, 0x04, 0x02, 0x01, 0x02, 0x04},// ^
{
   0x00, 0x40, 0x40, 0x40, 0x40, 0x40},// _
{
   0x00, 0x00, 0x01, 0x02, 0x04, 0x00},// '
{
   0x00, 0x20, 0x54, 0x54, 0x54, 0x78},// a
{
   0x00, 0x7F, 0x48, 0x44, 0x44, 0x38},// b
{
   0x00, 0x38, 0x44, 0x44, 0x44, 0x20},// c
{
   0x00, 0x38, 0x44, 0x44, 0x48, 0x7F},// d
{
   0x00, 0x38, 0x54, 0x54, 0x54, 0x18},// e
{
   0x00, 0x08, 0x7E, 0x09, 0x01, 0x02},// f
{
   0x00, 0x18, 0xA4, 0xA4, 0xA4, 0x7C},// g
{
   0x00, 0x7F, 0x08, 0x04, 0x04, 0x78},// h
{
   0x00, 0x00, 0x44, 0x7D, 0x40, 0x00},// i
{
   0x00, 0x40, 0x80, 0x84, 0x7D, 0x00},// j
{
   0x00, 0x7F, 0x10, 0x28, 0x44, 0x00},// k
{
   0x00, 0x00, 0x41, 0x7F, 0x40, 0x00},// l
{
   0x00, 0x7C, 0x04, 0x18, 0x04, 0x78},// m
{
   0x00, 0x7C, 0x08, 0x04, 0x04, 0x78},// n
{
   0x00, 0x38, 0x44, 0x44, 0x44, 0x38},// o
{
   0x00, 0xFC, 0x24, 0x24, 0x24, 0x18},// p
{
   0x00, 0x18, 0x24, 0x24, 0x18, 0xFC},// q
{
   0x00, 0x7C, 0x08, 0x04, 0x04, 0x08},// r
{
   0x00, 0x48, 0x54, 0x54, 0x54, 0x20},// s
{
   0x00, 0x04, 0x3F, 0x44, 0x40, 0x20},// t
{
   0x00, 0x3C, 0x40, 0x40, 0x20, 0x7C},// u
{
   0x00, 0x1C, 0x20, 0x40, 0x20, 0x1C},// v
{
   0x00, 0x3C, 0x40, 0x30, 0x40, 0x3C},// w
{
   0x00, 0x44, 0x28, 0x10, 0x28, 0x44},// x
{
   0x00, 0x1C, 0xA0, 0xA0, 0xA0, 0x7C},// y
{
   0x00, 0x44, 0x64, 0x54, 0x4C, 0x44},// z
{
   0x14, 0x14, 0x14, 0x14, 0x14, 0x14},// horiz lines
};
//12*12 ASCII字符集点阵
const unsigned char asc2_1206[95][12]={
   
{
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*" ",0*/
{
   0x00,0x00,0xFC,0x00,0x00,0x00,0x00,0x00,0x02,0x00,0x00,0x00},/*"!",1*/
{
   0x00,0x0C,0x02,0x0C,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*""",2*/
{
   0x90,0xD0,0xBC,0xD0,0xBC,0x90,0x00,0x03,0x00,0x03,0x00,0x00},/*"#",3*/
{
   0x18,0x24,0xFE,0x44,0x8C,0x00,0x03,0x02,0x07,0x02,0x01,0x00},/*"$",4*/
{
   0x18,0x24,0xD8,0xB0,0x4C,0x80,0x00,0x03,0x00,0x01,0x02,0x01},/*"%",5*/
{
   0xC0,0x38,0xE4,0x38,0xE0,0x00,0x01,0x02,0x02,0x01,0x02,0x02},/*"&",6*/
{
   0x08,0x06,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"'",7*/
{
   0x00,0x00,0x00,0xF8,0x04,0x02,0x00,0x00,0x00,0x01,0x02,0x04},/*"(",8*/
{
   0x00,0x02,0x04,0xF8,0x00,0x00,0x00,0x04,0x02,0x01,0x00,0x00},/*")",9*/
{
   0x90,0x60,0xF8,0x60,0x90,0x00,0x00,0x00,0x01,0x00,0x00,0x00},/*"*",10*/
{
   0x20,0x20,0xFC,0x20,0x20,0x00,0x00,0x00,0x01,0x00,0x00,0x00},/*"+",11*/
{
   0x00,0x00,0x00,0x00,0x00,0x00,0x08,0x06,0x00,0x00,0x00,0x00},/*",",12*/
{
   0x20,0x20,0x20,0x20,0x20,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"-",13*/
{
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x00,0x00,0x00,0x00},/*".",14*/
{
   0x00,0x80,0x60,0x1C,0x02,0x00,0x04,0x03,0x00,0x00,0x00,0x00},/*"/",15*/
{
   0xF8,0x04,0x04,0x04,0xF8,0x00,0x01,0x02,0x02,0x02,0x01,0x00},/*"0",16*/
{
   0x00,0x08,0xFC,0x00,0x00,0x00,0x00,0x02,0x03,0x02,0x00,0x00},/*"1",17*/
{
   0x18,0x84,0x44,0x24,0x18,0x00,0x03,0x02,0x02,0x02,0x02,0x00},/*"2",18*/
{
   0x08,0x04,0x24,0x24,0xD8,0x00,0x01,0x02,0x02,0x02,0x01,0x00},/*"3",19*/
{
   0x40,0xB0,0x88,0xFC,0x80,0x00,0x00,0x00,0x00,0x03,0x02,0x00},/*"4",20*/
{
   0x3C,0x24,0x24,0x24,0xC4,0x00,0x01,0x02,0x02,0x02,0x01,0x00},/*"5",21*/
{
   0xF8,0x24,0x24,0x2C,0xC0,0x00,0x01,0x02,0x02,0x02,0x01,0x00},/*"6",22*/
{
   0x0C,0x04,0xE4,0x1C,0x04,0x00,0x00,0x00,0x03,0x00,0x00,0x00},/*"7",23*/
{
   0xD8,0x24,0x24,0x24,0xD8,0x00,0x01,0x02,0x02,0x02,0x01,0x00},/*"8",24*/
{
   0x38,0x44,0x44,0x44,0xF8,0x00,0x00,0x03,0x02,0x02,0x01,0x00},/*"9",25*/
{
   0x00,0x00,0x10,0x00,0x00,0x00,0x00,0x00,0x02,0x00,0x00,0x00},/*":",26*/
{
   0x00,0x00,0x20,0x00,0x00,0x00,0x00,0x00,0x06,0x00,0x00,0x00},/*";",27*/
{
   0x00,0x20,0x50,0x88,0x04,0x02,0x00,0x00,0x00,0x00,0x01,0x02},/*"<",28*/
{
   0x90,0x90,0x90,0x90,0x90,0x00,0x00,
  • 31
    点赞
  • 243
    收藏
    觉得还不错? 一键收藏
  • 33
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 33
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值