Verilog 实现占空比为50%的偶分频 四分频 SVA查看波形

module div4(input clk,reset,output reg clkout);
parameter N=4;    //偶数分频,将N改为相应的偶数即可
reg [1:0] cnt;

always@(posedge clk)begin
if(!reset)
cnt<=2'b00;
else if(cnt==(N/2)-1)
cnt<=2'b00;
else
cnt<=cnt+2'b01;
end
always@(posedge clk)begin
if(!reset)
clkout<=0;
else if(cnt==(N/2)-1)
clkout<=~clkout;
else
clkout<=clkout;
end

a_1:assert property(
@(posedge clk)
        clkout[*2]|=>(!clkout)[*2]|=>clkout);//断言

endmodule

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,实现奇数分频可以使用一些逻辑操作来实现。一种常见的方法是使用计数器和逻辑门来生成占空比50%的分频时钟信号。具体的实现步骤如下: 1. 首先,定义一个计数器,用于计数时钟周期。计数器的位宽需要根据分频系数来确定,确保能够覆盖所需的分频范围。 2. 在每个时钟周期的上升沿,对计数器进行递增操作。 3. 当计数器的值等于分频系数的一半时,使用逻辑门将时钟信号翻转。常用的逻辑门有与门和或门。 4. 当计数器的值等于分频系数时,再次使用逻辑门将时钟信号翻转。 通过这样的逻辑操作,可以实现奇数分频得到占空比50%的分频时钟信号。具体的Verilog代码实现可以根据具体的分频系数和逻辑门选择进行调整。引用\[1\]和引用\[2\]中提供了一些关于奇数分频的具体实现方法和解释,可以作为参考。 #### 引用[.reference_title] - *1* [利用verilog语言实现奇数分频](https://blog.csdn.net/weixin_44633306/article/details/126356350)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [Verilog奇数分频(个人总结)](https://blog.csdn.net/weixin_44425619/article/details/115310456)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值